Study of Pattern Area Reduction. with FinFET and SGT for LSI

Size: px
Start display at page:

Download "Study of Pattern Area Reduction. with FinFET and SGT for LSI"

Transcription

1 Contemporary Engineering Sciences, Vol. 6, 2013, no. 4, HIKRI Ltd, Study of Pattern rea Reduction with FinFET and SGT for LSI Takahiro Kodama Japan Process Development Co., Ltd. Minato-ku, Kokyo, Japan Yu Hiroshima Oi Electric Co., Ltd. Kohoku-ku, Yokohama, Japan Shigeyoshi Watanabe Department of Information Science Shonan Institute of Technology, Fujisawa, Japan Copyright 2013 Takahiro Kodama et al. This is an open access article distributed under the Creative Commons ttribution License, which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited. bstract The pattern area reduction with SGT and FinFET for LSI, such as inverter, NND gates, full adder, and row decoder has been newly described. With small channel width of 8F the pattern area of inverter, NND gates and full adders with SGT can be reduced compared with that with FinFET. This results are useful for designing system LSI for communications. With larger channel width than 8F the pattern area of inverter, NND gates and full adders with SGT has the tendency to become larger than that with FinFET. This results are useful for designing system LSI for cell library and high end MPU. Furthermore, for designing core circuit, such as row decoder and sense amplifier, smaller pattern area can be realized with SGT compared with that with FinFET. Keywords: FinFET, SGT, pattern area, LSI, full adder, logic circuit

2 178 Takahiro Kodama et al. 1 Introduction Recently, the scaling of the conventional planar transistor becomes increasingly difficult because of its large short channel effect [1]. In order to overcome this problem FinFET [2][3] which use the 3 planes and SGT (Surrounding Gate Transistor) [4] which use the 4 planes as the channel for reducing the short channel effect has been developed. y using FinFET [5][6] and SGT[7][8] not only reduction the short channel effect but also the reduction of the pattern area compared with those of the conventional planar transistor can be realized. This is because not only the planar region but also the sidewall can be used as the channel for these newly proposed structure. The structure of FinFET is shown in Fig.1 (). The drain current flows along horizontal direction as the same as conventional planar transistor. Within the small pattern area large total channel width of W P +2W D can be successfully realized. ecause of these features Intel firstly produces FinFET on commercial basis as the high end CPU this year [9][10]. The structure of SGT is shown in Fig.1 (). Four sidewalls can be used as the channel. ssuming that the sidewall channel width is defined as Ws, within the small pattern area large total channel width of 4Ws can be successfully realized. The drain current flows along vertical direction which is perpendicular to the conventional planar transistor and FinFET case. Therefore, by using SGT serial connection of transistor can be easily realized to vertical direction. ecause of these features Toshiba and Samsung are planning to introduce SGT in the stacked type non-volatile memory with NND structured cell on commercial basis [11][12][13][14]. This stacked type non-volatile memory with NND structured cell using SGT is also adopted to newly proposed MRM [15][16] as shown in Fig.2. Gate oxide Planar Gate: Wp Gate Sidewall channel width :Ws Substrate Sidewall Gate: W D Gate oxide Gate SiO 2 SiO 2 Silicon pillar Substrate () () Figure 1: Newly proposed transistors, () FinFET, ()SGT

3 Study of pattern area reduction 179 RL WL RL WL S S WL WL1 WL1 WL Insulating layer / Gate oxide WL2 WL2 Fixed layer WL3 WL3 Free layer P + diffusion WL4 WL4 N + diffusion () Vss Vss 3F (C) S 3F WL RL () WL1 WL2 WL3 WL4 Figure 2: Configuration of stacked type MRM memory cell ()Equivalent circuit, ()Cross-sectional view (C) Top view The research of LSI with FinFET is focused on the operation speed and the power consumption. nd also, the research of LSI with SGT is focused on the device technology of memory devices. The research of LSI with FinFET and SGT about the pattern area is very few. These researches are limited to the simple logic circuit such as inverter and NND gates [17][18][19][20]. In this paper, the study of pattern area reduction with FinFET and SGT for LSI has been newly described. s the LSI various kinds of full adder circuits [21] are investigated. Furthermore, core circuit of memory such as decoder is also studied. This paper is organized as follows. Section 2 describes the design rule and the pattern area reduction of inverter with FinFET and SGT. Section 3 describes the pattern area reduction of full adder with FinFET and SGT. Section 4 presents the reduction of pattern area of row decoder circuit of high density memory with FinFET and SGT. Finally, a conclusion of this work is provided in Section 5. 2 Design rule and pattern area reduction of inverter The design rule for this study is summarized in table 1. F is feature size. In this study it is assumed that the same drain current flows, if the gate length, the channel width, and applied voltage are the same value. The channel width is set to 8F.

4 180 Takahiro Kodama et al. Table 1. Design rule Planar SGT FinFET Gate length F F F Wiring F F F Wiring to Wiring F F F Well isolation 3F 3F 3F Contact size F F F F F F Silicon pillar size 2F 2F Gate to contact 0.5F to silicon pillar 0.5F Sidewall channel width 3.5F Width of planar gate F Layout pattern of inverter using the conventional planar transistor, SGT, and FinFET is shown in Fig.3. The channel width is 8F. The vertical length of SGT and FinFET can be reduced compared with that of planar transistor. This reduction ratio of SGT is smaller than that of FinFET. This is because design rule of active area to silicon pillar of 0.5F must be considered for designing with SGT. On the other hands, the lateral length of SGT is smaller than that of FinFET and planar transistor. This is because the extra pattern area for gate running to the vertical direction is unnecessary for SGT. This leads to the reduction of lateral length of F compared with that of FinFET and planar transistor. For SGT this reduction is larger than the smaller reduction of vertical length. s a result, the pattern area of SGT becomes smaller than that of FinFET as shown in table 2. 7F 6F 7F 27F 16F 15F () Planar 189F 2 () SGT 96F 2 (C) FinFET 105F 2 Figure 3: Pattern design of inverter of channel width of 8F, ()Planar, ()SGT, (C) FinFET

5 Study of pattern area reduction 181 Planar SGT FinFET Vertical length Lateral length Pattern area Table 2: Pattern area comparison of inverter. Pattern area with planar is set to 100. With increasing the number of input to logic circuit such as NND and NOR gates, this tendency shown in table 2 is enhanced. This is because the difference of lateral length between SGT and FinFET increases with increasing the number of input. S C o () Circuit diagram (C) SGT 3300F 2 () Planar 5929F 2 (D) FinFET 4187F 2 (E) Comparison of vertical, lateral length Figure 4: Full adder with 3/4 input NND/NOR gates, ()Circuit diagram, ()Pattern with planar, (C)Pattern with SGT, (D)Pattern with FinFET, (E)Comparison of vertical, lateral length and pattern area.

6 182 Takahiro Kodama et al. 3 Pattern area reduction of full adder with FinFET and SGT Using 4 kinds of full adders the pattern area reduction with FinFET and SGT is newly estimated. 4 kinds of full adders are as follows, (1)Full adder with 3/4 input NND/NOR gates, (2)Full adder with 2 input NND/NOR gates, (3)Full adder with Pass transistor logic, (4)Full adder with composite gate. Fig.4 shows the estimated results of full adder with 3/4 input NND/NOR gates (()Circuit diagram, ()Pattern with planar, (C)Pattern with SGT, (D)Pattern with FinFET, and (E)Comparison of vertical, lateral length and pattern area)). The vertical length of full adder with SGT is a little longer than that with FinFET by the reason described in section 2. However, the reduction rate compared with Fig.2 is small. This is because wide wiring area must be introduced for full adder as shown in Fig.4. The lateral length of full adder with SGT is a smaller than that with FinFET and planar transistor. This is because the extra pattern area for gate running to the vertical direction is unnecessary for SGT as shown in Fig.2. s a result, the pattern area of SGT becomes smaller than that of FinFET as described in section 2. S C o () Circuit diagram (C) SGT F 2 () Planar 4355F 2 (D) FinFET 3315F 2 (E) Comparison of vertical, lateral length Figure 5: Full adder with 2 input NND/NOR gates, ()Circuit diagram, ()Pattern with planar, (C)Pattern with SGT, (D)Pattern with FinFET, (E)Comparison of vertical, lateral length and pattern area.

7 Study of pattern area reduction 183 C 0 S () Circuit diagram (C) SGT F 2 () Planar F 2 (D) FinFET F 2 (E) Comparison of vertical, lateral length Figure 6: Full adder with Composite gate, ()Circuit diagram, ()Pattern with planar, (C)Pattern with SGT, (D)Pattern with FinFET, (E)Comparison of vertical, lateral length and pattern area. Estimation results of other kinds of full adder, (2)-(4) is shown in Fig.5 Fig.7. The vertical length of full adder with SGT is a little longer than that with FInFET for (2) and (4) as the same as (1). However, for the pass transistor logic case, the vertical length with SGT becomes the same value as with FinFET. This is because the feature of the pass transistor logic with the input to source or drain is compatible with the pattern of SGT. s shown in Fig.7 (C), (D) the wiring indicated by the arrow for FinFET is unnecessary for SGT. The lateral length of (2)-(4) with SGT is smaller than that with FinFET as the same as (1) case. This tendency is enhanced with increasing the average number of input (Pass transistor

8 184 Takahiro Kodama et al. C 0 () Circuit diagram S (C) SGT F 2 () Planar F 2 (D) FinFET F 2 (E) Comparison of vertical, lateral length Figure 7: Full adder with Pass transistor logic, ()Circuit diagram, ()Pattern with planar, (C)Pattern with SGT, (D)Pattern with FinFET, (E)Comparison of vertical, lateral length and pattern area. Logic 1, 2 input NND/NOR gates and composite gate 2, 3/4 input NND/NOR gates 3 ) as shown in Fig.8. In Fig.8 the lateral length of 100 indicates the value for the planar transistor. s a result, the pattern area of (2)-(4) with SGT is smaller than that with FinFET as the same as (1) case. From the described estimation about 4 kinds of full adder the pattern of full adders with SGT become smaller than that with FinFET. This is the same tendency of inverter and NND/NOR gates described in section 2. For estimating the pattern area relatively small channel width of 8F is adopted. This relatively small channel width is mainly employed to system LSI for communication.

9 Study of pattern area reduction 185 Figure 8: Relationship between average number of input and lateral length of full adders. Fig.9 (a) shows the distribution of pattern area of system LSI for communications [22]. With increasing the channel width, the pattern area decreases monotony. This is because smaller power consumption compared with higher speed is important for system LSI for communication. Therefore, the pattern area reduction with SGT can be expected for these kinds of system LSI for communications. pattern area (%) pattern area (%) pattern area (%) () channel width (/F) () channel width (/F) (C) channel width (/F) Figure 9: Distribution of pattern area vs channel width of the planar transistor for 3 types of system LSIs, ()System LSI for communications, ()uffer circuit for high end MPU, (C)CMOS cell library.

10 186 Takahiro Kodama et al. However, the channel width of 8F is small for the high speed operation of system LSI such as high end MPU. For realizing the high speed operation larger channel width must be introduced as shown in Fig.9 () [19][24]. Furthermore, various values of channel width are necessary for CMOS cell library [25]. For estimating the pattern area reduction dependence with SGT and FinFET on the channel width, (1)-(4) is used. Pattern area ratio SGT/FinFET is shown in Fig.10. Pattern area ratio SGT/FinFET Figure 10: Pattern area ratio SGT/FinFET vs channel width of full adders. +3F +2F +3F +2F SGT FinFET Figure 11: Pattern design of inverter of channel width of 16F s increasing the channel width pattern area ratio SGT/FinFET increases monotony. Therefore, the channel width exceeds the fixed value, the pattern area with FinFET becomes smaller than that with SGT. This is because with increasing the channel width, the vertical length with SGT increases considerably compared with that with FinFET (Fig.11). With increasing of the channel width of 16F-8F=8F, the vertical length with SGT increases by 3F. On the other hands, the

11 Study of pattern area reduction 187 vertical length with FinFET increases by only 2F. Therefore, the pattern area reduction with FinFET can be expected for these kinds of system LSI for high end MPU and CMOS cell library. 4 Pattern area reduction of row decoder with FinFET and SGT Low bit cost, fabrication cost per bit, is the most important issue for realizing high density memory. The bit cost is proportional to pattern area. Therefore, for realizing low bit cost small pattern area for memory cell and core circuit, such as row decoder must be realized. The block diagram of memory cell and row decoder is shown in Fig.12. For realizing the smallest pattern area of row decoder the pitch of row decoder must be equal to the lateral length of memory cell (Fig. 12 ()). For this purpose the lateral length of the transistor within the row decoder must be smaller than the lateral length of memory cell. Pitch of row decoder Lateral length of transistor Vertical length of transistor Lateral length of row decoder Vertical length of row decoder Pitch of row decoder Pitch of row decoder Transistor Row decoder Lateral length of memory cell () Memory cell Vertical length of memory cell WL and gate WL and gate running direction () (C) Figure 12: lock diagram of memory cell and row decoder, ()Legend about the figure, ()Pitch of row decoder is equal to lateral length of memory cell, (C) Pitch of row decoder is 2*(lateral length of memory cell). The lateral length of transistor with FinFET is equal to that of planar transistor. Therefore, due to large lateral length with FinFET the transistor can not be laid out within lateral length of memory cell. In this case pitch of row decoder must be

12 188 Takahiro Kodama et al. enlarged to 2*(lateral length of memory cell) as shown in Fig.12 (C). This scheme results in the double pattern area of row decoder compared with Fig.12 (). On the other hands, the lateral length of transistor with SGT is smaller than that with FinFET as described in section 2. Therefore, due to the smaller lateral length with SGT the transistor can be laid out within lateral length of memory cell (Fig. 12 ()). Therefore, SGT is suitable for realizing small pattern area of row decoder compared with FinFET [26][16]. SGT is useful for designing the other core circuit, such as sense amplifier (S/) [26]. 5 Conclusion SGT vs FinFET High density memory System LSI Stacked type cell Core circuit (Dec. S/) LSI for communication Cell library High end MPU SGT SGT SGT FinFET FinFET Figure 13: Summary of this paper The pattern area reduction with SGT and FinFET for LSI, such as inverter, NND gates, full adder, and row decoder has been newly described. With small channel width of 8F the pattern area of inverter, NND gates and full adders with SGT can be reduced compared with that with FinFET. This results are useful for designing system LSI for communications. With larger channel width than 8F the pattern area of inverter, NND gates and full adders with SGT has the tendency to become larger than that with FinFET. This results are useful for designing system LSI for cell library and high end MPU. Furthermore, for designing core circuit, such as row decoder and sense amplifier, smaller pattern area can be realized with SGT compared with that with FinFET. These results are useful for designing future low cost system LSI and high density memories. References [1] International Technology Roadmap of Semiconductor 2003 Edition, 2003 Semiconductor Industry ssociation. [2 ]K. Hieda et. al., "Effect of a new trench-isolated transistor using side wall gates, IEEE Trans. Electron Devices, vol.36, no.9, pp , 1989.

13 Study of pattern area reduction 189 [3] D. Hisamoto et. al., FinFET a self-aligned double gate MOSFET scarable beyond 20nm, IEEE Trans. Electron Devices, vol.47, no.12, pp , [4] H. Takato et al., Impact of SGT for ultra - high density LSIs, IEEE Trans. Electron Devices, vol. 38, pp , [5] M. Wada, K. Hieda, and S. Watanabe, folded capacitor cell for future megabit DRMs, IEDM Tech. Dig., pp , [6] S. Watanabe, Design methodology for system LSI with TIS (Trench Isolatedtransistor using sidewall gate), IEICE. Trans. on Electronics, vol.j88-c, no.12, pp , [7] N. Nitayama et al., Multi-pillar surrounding gate transistor (M-SGT) for compact and high-speed circuits, IEEE Trans. Electron Devices, Volume: 38, Issue: 3, , [8] K. Sunouchi et al., surrounding gate transistor (SGT) cell for 64/256Mbit DRMs, IEDM Tech. Dig., pp.23-26, [9] Intel, Intel 22nm 3-D Tri-Gate Transistor Technology, nnouncement_presentation.pdf [10] S. Davnaraju et. al., 22nm I multi-cpu and GPU system on chip, ISSCC Dig. Tech. Papers, [11] T. Tanaka et al., "it cost scalable technology with punch and plug process for ultra high density flash memory, Symp. on VLSI Technology,2007. [12] Y. Fukuzumi et.al., "Optimal Integration and Characteristics of Vertical rray Device for ultra-high Density, it-cost Scalable Flash Memory, IEDM [13] R. Katsumata et al., Pipe-shaped ics flash memory with 16 stacked layers and multi-level-cell operation for ultra high density storage devices, Symp. on VLSI Technology, [14] J. Jang et al., Vertical cell array using TCT (Terabit Cell rray Transistor) technology for ultra high density NND flash memory, Symp. on VLSI Technology, [15] S. Tamai and S. Watanabe, Study for reading method of stacked NND type MRM using spin transistor, IEICE Trans. on Electronics, vol.j91-c, no. 11, pp , [16] S. Tamai and S. Watanabe, Design method of stacked type MRM with NND structured cell, Contemporary Engineering Sciences, vol.6, no.2, pp.69-86, [17] K. Sakui and T. Endoh, compact space and efficient drain current design for multi pillar vertical MOSFETs, IEEE Trans. Electron Devices, vol.57, no.8, pp , 2010.

14 190 Takahiro Kodama et al. [18] K. Sakui and T. Endoh, new vertical MOSFET Vertical Logic Circuit (VLC) MOSFET suppressing asymmetric characteristics and realizing an ultra compact and robust logic circuit, Solid state electronics, vol.54, issue 11, pp , [19] S. Watanabe, New design method of tapered buffer circuit with TIS (Trench - Isolated - transistor using Side wall gate) and its application to high-density DRMs, IEICE, vol.j86-c, no.3, pp , [20] Y. Hiroshima and S. Watanabe, New design technology of independent-gate controlled stacked type 3D transistor for system LSI, IEICE Trans. on Electronics, vol.j92-c, no.3, pp , [21] J. Rabaey et. al., Digital Integrated Circuit ( design perspective), Prentice hall, [22] H.Ishikuro, M.Hamada, K.gawa, S.Kousai, H.Kobayashi, D.Nguyen, and F.Hatori, single-chip CMOS bluetooth transceiver with 1.5MHz IF and direct modulation transmitter, ISSCC Dig. Tech. Papers pp.68-69, [23] T. Endoh, K. Shinmei, H. Sakuraba and F. Masuoka., New three-dimensional memory array architecture for future ultrahigh-density, IEEE Journal of Solid-State Circuits, vol.34, no.4, pp , [24] F. eeftink, Integration the VLSI Journal, vol.29, pp.67-93, [25] D. Heinbuch, CMOS3 cell library ddison-wesley, [26] S. Watanabe et al., novel circuit technology with surrounding gate transistors (SGTs) for ultra high density DRMs, IEEE J. Solid-State Circuits, vol.30, no.9, pp Received: March 26, 2013

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current Hiroshi Kawaguchi, Ko-ichi Nose, Takayasu Sakurai University of Tokyo, Tokyo, Japan Recently, low-power requirements are

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction 1 Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction Assistant Professor Office: C3.315 E-mail: eman.azab@guc.edu.eg 2 Course Overview Lecturer Teaching Assistant Course Team E-mail:

More information

Lecture 1: Circuits & Layout

Lecture 1: Circuits & Layout Lecture 1: Circuits & Layout Outline A Brief History CMOS Gate esign Pass Transistors CMOS Latches & Flip-Flops Standard Cell Layouts Stick iagrams 2 A Brief History 1958: First integrated circuit Flip-flop

More information

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches Low-Power and Area-Efficient Shift Register Using Pulsed Latches G.Sunitha M.Tech, TKR CET. P.Venkatlavanya, M.Tech Associate Professor, TKR CET. Abstract: This paper proposes a low-power and area-efficient

More information

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications N.KIRAN 1, K.AMARNATH 2 1 P.G Student, VRS & YRN College of Engineering & Technology, Vodarevu Road, Chirala 2 HOD & Professor,

More information

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH 1 Kalaivani.S, 2 Sathyabama.R 1 PG Scholar, 2 Professor/HOD Department of ECE, Government College of Technology Coimbatore,

More information

Low Power D Flip Flop Using Static Pass Transistor Logic

Low Power D Flip Flop Using Static Pass Transistor Logic Low Power D Flip Flop Using Static Pass Transistor Logic 1 T.SURIYA PRABA, 2 R.MURUGASAMI PG SCHOLAR, NANDHA ENGINEERING COLLEGE, ERODE, INDIA Abstract: Minimizing power consumption is vitally important

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE Design and analysis of RCA in Subthreshold Logic Circuits Using AFE 1 MAHALAKSHMI M, 2 P.THIRUVALAR SELVAN PG Student, VLSI Design, Department of ECE, TRPEC, Trichy Abstract: The present scenario of the

More information

Reduction of Area and Power of Shift Register Using Pulsed Latches

Reduction of Area and Power of Shift Register Using Pulsed Latches I J C T A, 9(13) 2016, pp. 6229-6238 International Science Press Reduction of Area and Power of Shift Register Using Pulsed Latches Md Asad Eqbal * & S. Yuvaraj ** ABSTRACT The timing element and clock

More information

ROM MEMORY AND DECODERS

ROM MEMORY AND DECODERS ROM MEMORY AND DECODERS INEL427 - Spring 22 RANDOM ACCESS MEMORY Random Access Memory (RAM) read and write memory volatile Static RAM (SRAM) store information as long as power is applied will not lose

More information

A Multigigabit DRAM Technology With 6F 2 Open-Bitline Cell, Distributed Overdriven Sensing, and Stacked-Flash Fuse

A Multigigabit DRAM Technology With 6F 2 Open-Bitline Cell, Distributed Overdriven Sensing, and Stacked-Flash Fuse IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 11, NOVEMBER 2001 1721 A Multigigabit DRAM Technology With 6F 2 Open-Bitline Cell, Distributed Overdriven Sensing, and Stacked-Flash Fuse Tsugio Takahashi,

More information

IC TECHNOLOGY Lecture 2.

IC TECHNOLOGY Lecture 2. IC TECHNOLOGY Lecture 2. IC Integrated Circuit Technology Integrated Circuit: An integrated circuit (IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute DIGITL TECHNICS Dr. álint Pődör Óbuda University, Microelectronics and Technology Institute 10. LECTURE (LOGIC CIRCUITS, PRT 2): MOS DIGITL CIRCUITS II 2016/2017 10. LECTURE: MOS DIGITL CIRCUITS II 1.

More information

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED) Chapter 2 Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED) ---------------------------------------------------------------------------------------------------------------

More information

A low-power portable H.264/AVC decoder using elastic pipeline

A low-power portable H.264/AVC decoder using elastic pipeline Chapter 3 A low-power portable H.64/AVC decoder using elastic pipeline Yoshinori Sakata, Kentaro Kawakami, Hiroshi Kawaguchi, Masahiko Graduate School, Kobe University, Kobe, Hyogo, 657-8507 Japan Email:

More information

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift

More information

The Impact of Device-Width Quantization on Digital Circuit Design Using FinFET Structures

The Impact of Device-Width Quantization on Digital Circuit Design Using FinFET Structures EE 241 SPRING 2004 1 The Impact of Device-Width Quantization on Digital Circuit Design Using FinFET Structures Farhana Sheikh, Vidya Varadarajan {farhana, vidya}@eecs.berkeley.edu Abstract FinFET structures

More information

Chapter 3 Evaluated Results of Conventional Pixel Circuit, Other Compensation Circuits and Proposed Pixel Circuits for Active Matrix Organic Light Emitting Diodes (AMOLEDs) -------------------------------------------------------------------------------------------------------

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

Lecture 1: Intro to CMOS Circuits

Lecture 1: Intro to CMOS Circuits Introduction to CMOS VLSI esign Lecture : Intro to CMOS Circuits avid Harris Steven Levitan Fall 28 Harvey Mudd College Spring 24 Outline A Brief History CMOS Gate esign Pass Transistors CMOS Latches &

More information

SA4NCCP 4-BIT FULL SERIAL ADDER

SA4NCCP 4-BIT FULL SERIAL ADDER SA4NCCP 4-BIT FULL SERIAL ADDER CLAUZEL Nicolas PRUVOST Côme SA4NCCP 4-bit serial full adder Table of contents Deeper inside the SA4NCCP architecture...3 SA4NCCP characterization...9 SA4NCCP capabilities...12

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner

Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner Mr. T. Immanuel 1 Sudhakara Babu Oja 2 1Associate Professor, Department of ECE, SVR Engineering College, Nandyal. 2PG Scholar, Department

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

Challenges in the design of a RGB LED display for indoor applications

Challenges in the design of a RGB LED display for indoor applications Synthetic Metals 122 (2001) 215±219 Challenges in the design of a RGB LED display for indoor applications Francis Nguyen * Osram Opto Semiconductors, In neon Technologies Corporation, 19000, Homestead

More information

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Akash Singh Rawat 1, Kirti Gupta 2 Electronics and Communication Department, Bharati Vidyapeeth s College of Engineering,

More information

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder Dept. of Electrical and Computer Engineering University of California, Davis Issued: November 2, 2011 Due: November 16, 2011, 4PM Reading: Rabaey Sections

More information

Introduction to CMOS VLSI Design (E158) Lecture 11: Decoders and Delay Estimation

Introduction to CMOS VLSI Design (E158) Lecture 11: Decoders and Delay Estimation Harris Introduction to CMOS VLSI Design (E158) Lecture 11: Decoders and Delay Estimation David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University

More information

PICOSECOND TIMING USING FAST ANALOG SAMPLING

PICOSECOND TIMING USING FAST ANALOG SAMPLING PICOSECOND TIMING USING FAST ANALOG SAMPLING H. Frisch, J-F Genat, F. Tang, EFI Chicago, Tuesday 6 th Nov 2007 INTRODUCTION In the context of picosecond timing, analog detector pulse sampling in the 10

More information

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design International Journal of Education and Science Research Review Use of Low Power DET Address Pointer Circuit for FIFO Memory Design Harpreet M.Tech Scholar PPIMT Hisar Supriya Bhutani Assistant Professor

More information

AM-OLED pixel circuits suitable for TFT array testing. Research Division Almaden - Austin - Beijing - Haifa - India - T. J. Watson - Tokyo - Zurich

AM-OLED pixel circuits suitable for TFT array testing. Research Division Almaden - Austin - Beijing - Haifa - India - T. J. Watson - Tokyo - Zurich RT0565 Engineering Technology 4 pages Research Report February 3, 2004 AM-OLED pixel circuits suitable for TFT array testing Y. Sakaguchi, D. Nakano IBM Research, Tokyo Research Laboratory IBM Japan, Ltd.

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS January 23, 2003 John Wawrzynek Spring 2003 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2 ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2 The goal of this project is to design a chip that could control a bicycle taillight to produce an apparently random flash sequence. The chip should operate

More information

DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY

DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY 1 Anshu Mittal, 2 Jagpal Singh Ubhi Department of Electronics and Communication Engineering, Sant Longowal Institute of Engineering

More information

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch 1 D. Sandhya Rani, 2 Maddana, 1 PG Scholar, Dept of VLSI System Design, Geetanjali college of engineering & technology, 2 Hod

More information

VLSI Chip Design Project TSEK06

VLSI Chip Design Project TSEK06 VLSI Chip Design Project TSEK06 Project Description and Requirement Specification Version 1.1 Project: High Speed Serial Link Transceiver Project number: 4 Project Group: Name Project members Telephone

More information

In-Cell Projected Capacitive Touch Panel Technology

In-Cell Projected Capacitive Touch Panel Technology 1384 INVITED PAPER Special Section on Electronic Displays In-Cell Projected Capacitive Touch Panel Technology Yasuhiro SUGITA a), Member, Kazutoshi KIDA, and Shinji YAMAGISHI, Nonmembers SUMMARY We describe

More information

A Symmetric Differential Clock Generator for Bit-Serial Hardware

A Symmetric Differential Clock Generator for Bit-Serial Hardware A Symmetric Differential Clock Generator for Bit-Serial Hardware Mitchell J. Myjak and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA,

More information

Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London. Digital IC Design Course

Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London. Digital IC Design Course Scalable CMOS Layout Design Rules Scalable CMOS Layout Design Rules Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London Department of Electrical & Electronic Engineering Digital IC

More information

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP S.BANUPRIYA 1, R.GOWSALYA 2, M.KALEESWARI 3, B.DHANAM 4 1, 2, 3 UG Scholar, 4 Asst.Professor/ECE 1, 2, 3, 4 P.S.R.RENGASAMY

More information

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES Mr. Nat Raj M.Tech., (Ph.D) Associate Professor ECE Department ST.Mary s College Of Engineering and Technology(Formerly ASEC),Patancheru

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 5, MAY 2005 233 A Portable Digitally Controlled Oscillator Using Novel Varactors Pao-Lung Chen, Ching-Che Chung, and Chen-Yi Lee

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

FDTD_SPICE Analysis of EMI and SSO of LSI ICs Using a Full Chip Macro Model

FDTD_SPICE Analysis of EMI and SSO of LSI ICs Using a Full Chip Macro Model FDTD_SPICE Analysis of EMI and SSO of LSI ICs Using a Full Chip Macro Model Norio Matsui Applied Simulation Technology 2025 Gateway Place #318 San Jose, CA USA 95110 matsui@apsimtech.com Neven Orhanovic

More information

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. Ajay, 2 G.Srihari, 1 PG Scholar,Dept of ECE, Sreenivasa Institute of Technology and Management Studies (Autonomous) Murkambattu, Chittoor,

More information

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Divya shree.m 1, H. Venkatesh kumar 2 PG Student, Dept. of ECE, Nagarjuna College of Engineering

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

Designing VeSFET-based ICs with CMOS-oriented EDA Infrastructure

Designing VeSFET-based ICs with CMOS-oriented EDA Infrastructure Designing VeSFET-based ICs with CMOS-oriented ED Infrastructure Xiang Qiu, Malgorzata Marek-Sadowska University of California, Santa arbara Wojciech Maly Carnegie Mellon University Outline Introduction

More information

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT Sripriya. B.R, Student of M.tech, Dept of ECE, SJB Institute of Technology, Bangalore Dr. Nataraj.

More information

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process (Lec 11) From Logic To Layout What you know... Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process High-level design description

More information

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Tolis Voutsas* Paul Schuele* Bert Crowder* Pooran Joshi* Robert Sposili* Hidayat

More information

FinFETs & SRAM Design

FinFETs & SRAM Design FinFETs & SRAM Design Raymond Leung VP Engineering, Embedded Memories April 19, 2013 Synopsys 2013 1 Agenda FinFET the Device SRAM Design with FinFETs Reliability in FinFETs Summary Synopsys 2013 2 How

More information

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm Overview: In this assignment you will design a register cell. This cell should be a single-bit edge-triggered D-type

More information

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015 Power and Area analysis of Flip Flop using different s Neha Thapa 1, Dr. Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department of E.C.E, NITTTR,

More information

HIGH SPEED CLOCK DISTRIBUTION NETWORK USING CURRENT MODE DOUBLE EDGE TRIGGERED FLIP FLOP WITH ENABLE

HIGH SPEED CLOCK DISTRIBUTION NETWORK USING CURRENT MODE DOUBLE EDGE TRIGGERED FLIP FLOP WITH ENABLE HIGH SPEED CLOCK DISTRIBUTION NETWORK USING CURRENT MODE DOUBLE EDGE TRIGGERED FLIP FLOP WITH ENABLE 1 Remil Anita.D, and 2 Jayasanthi.M, Karpagam College of Engineering, Coimbatore,India. Email: 1 :remiljobin92@gmail.com;

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

Design of Organic TFT Pixel Electrode Circuit for Active-Matrix OLED Displays

Design of Organic TFT Pixel Electrode Circuit for Active-Matrix OLED Displays JOURNAL OF COMPUTERS, VOL. 3, NO. 3, MARCH 2008 1 Design of Organic TFT Pixel Electrode Circuit for Active-Matrix Displays Aram Shin, Sang Jun Hwang, Seung Woo Yu, and Man Young Sung 1) Semiconductor and

More information

Noise Margin in Low Power SRAM Cells

Noise Margin in Low Power SRAM Cells Noise Margin in Low Power SRAM Cells S. Cserveny, J. -M. Masgonty, C. Piguet CSEM SA, Neuchâtel, CH stefan.cserveny@csem.ch Abstract. Noise margin at read, at write and in stand-by is analyzed for the

More information

Notes on Digital Circuits

Notes on Digital Circuits PHYS 331: Junior Physics Laboratory I Notes on Digital Circuits Digital circuits are collections of devices that perform logical operations on two logical states, represented by voltage levels. Standard

More information

Innovative Fast Timing Design

Innovative Fast Timing Design Innovative Fast Timing Design Solution through Simultaneous Processing of Logic Synthesis and Placement A new design methodology is now available that offers the advantages of enhanced logical design efficiency

More information

Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis

Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis October 31, 2003 Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis Table of Contents List of Figures...Page 1 Introduction...Page 4 Device Summary Sheet...Page 6 Top Level Diagram...Tab

More information

VLSI Design Digital Systems and VLSI

VLSI Design Digital Systems and VLSI VLSI Design Digital Systems and VLSI Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author 1 Overview Why VLSI? IC Manufacturing CMOS Technology

More information

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Praween Sinha Department of Electronics & Communication Engineering Maharaja Agrasen Institute Of Technology, Rohini sector -22,

More information

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312 14 12 10 8 6 Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP) 0 1950 1960 1970 1980

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course Session Number 1532 Adding Analog and Mixed Signal Concerns to a Digital VLSI Course John A. Nestor and David A. Rich Department of Electrical and Computer Engineering Lafayette College Abstract This paper

More information

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor 14 12 10 8 6 IBM ES9000 Bipolar Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP)

More information

PERFORMANCE ANALYSIS OF POWER GATING TECHNIQUES IN 4-BIT SISO SHIFT REGISTER CIRCUITS

PERFORMANCE ANALYSIS OF POWER GATING TECHNIQUES IN 4-BIT SISO SHIFT REGISTER CIRCUITS Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3203-3214 School of Engineering, Taylor s University PERFORMANCE ANALYSIS OF POWER GATING TECHNIQUES IN 4-BIT SISO SHIFT REGISTER CIRCUITS

More information

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking G.Abhinaya Raja & P.Srinivas Department Of Electronics & Comm. Engineering, Nimra College of Engineering & Technology, Ibrahimpatnam,

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic EEA091 - Digital Logic 數位邏輯 Chapter 7 Memory and Programmable Logic 吳俊興國立高雄大學資訊工程學系 2006 Chapter 7 Memory and Programmable Logic 7-1 Introduction 7-2 Random-Access Memory 7-3 Memory Decoding 7-4 Error

More information

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems Dr. Jeffrey B. Sampsell Texas Instruments Digital projection display systems based on the DMD

More information

A HIGH SPEED CMOS INCREMENTER/DECREMENTER CIRCUIT WITH REDUCED POWER DELAY PRODUCT

A HIGH SPEED CMOS INCREMENTER/DECREMENTER CIRCUIT WITH REDUCED POWER DELAY PRODUCT A HIGH SPEED CMOS INCREMENTER/DECREMENTER CIRCUIT WITH REDUCED POWER DELAY PRODUCT P.BALASUBRAMANIAN DR. R.CHINNADURAI Department of Electronics and Communication Engineering National Institute of Technology,

More information

An Introduction to VLSI (Very Large Scale Integrated) Circuit Design

An Introduction to VLSI (Very Large Scale Integrated) Circuit Design An Introduction to VLSI (Very Large Scale Integrated) Circuit Design Presented at EE1001 Oct. 16th, 2018 By Hua Tang The first electronic computer (1946) 2 First Transistor (Bipolar) First transistor Bell

More information

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology.

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. T.Vijay Kumar, M.Tech Associate Professor, Dr.K.V.Subba Reddy Institute of Technology.

More information

Failure Analysis Technology for Advanced Devices

Failure Analysis Technology for Advanced Devices ISHIYAMA Toshio, WADA Shinichi, KUZUMI Hajime, IDE Takashi Abstract The sophistication of functions, miniaturization and reduced weight of household appliances and various devices have been accelerating

More information

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 80 CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 6.1 INTRODUCTION Asynchronous designs are increasingly used to counter the disadvantages of synchronous designs.

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

ALONG with the progressive device scaling, semiconductor

ALONG with the progressive device scaling, semiconductor IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 4, APRIL 2010 285 LUT Optimization for Memory-Based Computation Pramod Kumar Meher, Senior Member, IEEE Abstract Recently, we

More information

Digital Integrated Circuits A Design Perspective Solution

Digital Integrated Circuits A Design Perspective Solution We have made it easy for you to find a PDF Ebooks without any digging. And by having access to our ebooks online or by storing it on your computer, you have convenient answers with digital integrated circuits

More information

24. Scaling, Economics, SOI Technology

24. Scaling, Economics, SOI Technology 24. Scaling, Economics, SOI Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 December 4, 2017 ECE Department, University

More information

Emerging Memory Technologies

Emerging Memory Technologies Report No. FI-NVM-EMT-1209 By: Josef Willer, Gregory Wong December 2009 2009 Forward Insights. All Rights Reserved. Reproduction and distribution of this publication in any form in whole or in part without

More information

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Future of Analog Design and Upcoming Challenges in Nanometer CMOS Future of Analog Design and Upcoming Challenges in Nanometer CMOS Greg Taylor VLSI Design 2010 Outline Introduction Logic processing trends Analog design trends Analog design challenge Approaches Conclusion

More information

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online: ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING PULSED LATCH #1 GUNTI SUMANJALI, M.Tech Student, #2 V.SRIDHAR, Assistant Professor, Dept of ECE, MOTHER THERESSA COLLEGE OF ENGINEERING &

More information

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS * SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEUENTIAL CIRCUITS * Wu Xunwei (Department of Electronic Engineering Hangzhou University Hangzhou 328) ing Wu Massoud Pedram (Department of Electrical

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Course Number: ECE 533 Spring 2013 University of Tennessee Knoxville Instructor: Dr. Syed Kamrul Islam Prepared by

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information