The Impact of Device-Width Quantization on Digital Circuit Design Using FinFET Structures

Size: px
Start display at page:

Download "The Impact of Device-Width Quantization on Digital Circuit Design Using FinFET Structures"

Transcription

1 EE 241 SPRING The Impact of Device-Width Quantization on Digital Circuit Design Using FinFET Structures Farhana Sheikh, Vidya Varadarajan {farhana, vidya}@eecs.berkeley.edu Abstract FinFET structures enable scaling to very short gate lengths beyond 10nm, however, device-width quantization has been identified as a possible technology disrupter for its widespread adoption. Prior work has shown that the effect is more pronounced for circuits such as latches which are sensitive to the β-ratio and that special tools and algorithms are required to migrate bulk CMOS designs to FinFET-based circuits. This paper investigates the effect of width granularity on functionality, performance, and power using three circuits that typify digital design: inverter network, SRAM cell, static SR flip-flop. We propose to employ HSPICE and Matlab scripts based on existing energy-delay optimization tools [6] to quantify the effects of width granularity. Index Terms FinFET, device-width quantization, scaling, sizing I. INTRODUCTION HORT-CHANNEL effects such as sub-threshold and Sgate-dielectric leakages in conventional CMOS devices are primary limiters for scaling. Novel device architectures will therefore, be necessary to continue reaping the benefits of scaling to very short gate lengths beyond 10nm. Double-gate CMOS (DGCMOS) devices offer an attractive alternative to other structures such as ultra-thin body (UTB) or conventional bulk CMOS in terms of performance, control of short-channel effects, and manufacturability [1]. The FinFET, the most popular realization of a double-gate device, offers a unique alternative to managing leakage currents without compromising performance. In [1] the authors show that for very low I OFF applications on the order of pico-amperes the V T for double-gate devices may be set to as much as 200mV lower than that of conventional single-gate devices and can result in as much as 60% more overdrive current for double-gate designs using a low supply voltage. This is a significant advantage over conventional planar devices at the same technology node. However, the FinFET presents a new complication for designers, namely, device-width quantization [2, 3, 4]. In FinFET technology, device widths are quantized into units of whole fins, owing to uniform SOI film thickness. In recently published literature, it has been shown that the device-width quantization problem is significantly more severe for circuits sensitive to the beta (β) ratio. These include SRAM cells, latches, and dynamic circuits. [3] Device-width quantization has only been recently identified as a possible technology disrupter for adoption of FinFET technology and very few studies have been done to investigate circuit design issues and possible solutions [2, 5, 3, 9]. In this project we propose to investigate transistor sizing issues resulting from use of FinFETs in the design of three types of simple digital circuits which are representative of the types of circuits commonly found in microprocessor design. The inverter network represents combinational circuits; the six transistor SRAM cell is representative of fundamental building blocks for memory circuits; and a static SR flip-flop is representative of sequential circuits. Each circuit s operation and reliability has a varying sensitivity to sizing and β-ratio. In addition, realization of tapered stacks can be a challenge using FinFETs. In this paper we quantify the impact on operation, performance, and power due to device-width quantization on each type of circuit through the use of HSPICE and Matlab scripts based on the tool described in [6]. Further details of our methodology are presented in Section I. Section II presents background material on FinFET structure, processing, and introduces the problem of device-width quantization. Section III provides a comprehensive review of publications that address design issues resulting from width granularity and possible solutions. In Section IV we detail the benchmark circuits and the various sizing issues that they present to the circuit designer. We highlight where device-width quantization may present issues for circuit designers and CAD tools. We summarize our paper in Section VII. II. FINFET TECHNOLOGY A. FinFET Structure and Process Flow A pictorial representation of a double-gate FET (DG-FET) is shown in Figure 1. The short channel effects in such a structure are well-controlled compared to single-gate devices due to control of the channel through two gates instead of one. The advantages of DG-FETs are best realized when the two gates are perfectly aligned with each other. The FinFET structure is one such successful implementation of a double-gate device. Other

2 EE 241 SPRING than the advantages in electrical performance and scalability, it also provides benefits in fabrication and manufacturability. The process flow and layout are reasonably compatible with the existing bulk CMOS process, making it more attractive for manufacturing [3]. Owing to its superior performance and fabrication benefits, its production may start as close as the 65nm technology node [5]. The issue of gate alignment and S/D alignment is very easily dealt with, in this implementation, by rotating the silicon film of a planar double gate structure to a vertical orientation. Forming the gate around the fin now makes the gates self-aligned along with self-alignment of the S/D regions. The structure and cross section of a typical FinFET are shown in Figure 2. The structure consists of a thin silicon fin and a gate line falling around it. The process flow is summarized in Figure 3. It involves the following basic steps: (i) Etching of Si fins out of the silicon layer of an SOI wafer, (ii) Gate formation around the fin, forming the front and back gates and (iii) spacer formation followed by S/D implantation.[3] As we can see from Figure 2 and Figure 4, the height of the silicon fin defines the transistor width. The thickness of the fin, on the other hand, defines the control of the back gate on the channel and hence the short channel behavior of the device. Silicon films on SOI wafers are used to define the fins and hence, the height of the fin is effectively constant for all transistors. Figure 1: Double-gate MOSFET Figure 4: Device-width quantization Figure 2: FinFET structure Figure 3: FinFET manufacturing process flow B. Device-Width Quantization Each fin provides 2H of device width, where H is the height of the fin. The size of each fin also determines the increments in device widths available to the circuit designer. In planar devices, the device width quanta are dictated by the grid step size in the design database employed. This relatively unconstrained selection of device width allows designers to choose appropriate ratios of N-MOSFET and P-MOSFET devices to achieve desired tradeoffs in performance, power, and robustness. Owing to the quantization constraint, it is much more difficult to achieve the required beta ratios in FinFETs. [2] III. PRIOR WORK AND MOTIVATION The device-width granularity issue has been identified only recently and very little study has been done. This problem can be tackled either at the circuit design level [5] or at the processing level [3, 9] or at the device physics level [12]. The possible approaches that seem promising are presented below. The ideas presented in subsections B and C have not been studied before from the quantization perspective, but can be considered as viable modifications to the conventional FinFET structure that have the possibility of overcoming or at least reducing the effect of device-width quantization. They share similar benefits with FinFET in terms of performance benefits, but present some other issues either in processing or layout.

3 EE 241 SPRING A. Design Level Optimization At the design level, novel optimization strategies are being worked upon to achieve the most optimal quantized device widths. Even though a clear solution to sizing is still not available, study has been done to evaluate the effect of device-width granularity on some performance metrics [5]. It is suspected that only circuits sensitive to transistor sizes are likely to be impacted in this technology. These circuits, as mentioned in a prior section, include SRAM cells, latches, dynamic logic and tapered stacks. A study of latch circuits shows an 8% deviation from an optimal performance point in single-gate technology. The work evaluates the effect of quantization on the power-delay product (PDP) and noise margin for a logic and general-purpose latch. The authors propose the use of a quantization error metric which is defined as half the maximum distance to the adjacent performance point normalized to its performance value, in the performance-size space. [5] B. Tri-Gate Transistor Design The tri-gate structure is an extension of the FinFET structure, to allow variable device widths. This structure has not been proposed as a solution to the quantization problem; however, it provides similar benefits of a double-gate device without adverse quantization effects. This device uses the top of the fin as a third gate controlling the channel [9, 12]. This relaxes the constraint on the fin thickness for the same level of short channel effects. The top of the fin can now be made wider or narrower to achieve the desired device width. Even though the tri-gate transistor appears to be a reasonable solution to the quantization problem, this device presents a few issues. The FinFET structures can be processed using spacer lithography, which is much simpler to implement than aggressive lithography techniques, which is required for the tri-gate structure. Also, this device performs best until the fin width is equal to the gate length. Thicker films than that (which could be required to achieve the desired device widths) lead to degradation in short channel effects and the device starts to resemble the UTB FET more than the double-gate FET. Given a choice of varying the fin thickness to one gate length, it would probably become challenging for software tools like FinGEN [3] to generate the exact number of fins and fin widths for each transistor in a big layout. Human intervention maybe required for final optimization. It would therefore be interesting to develop tools that can carry out optimization of circuits without trying to alter the device structure. Even though the most optimal circuits may not be generated, the performance loss may not be very heavy [5] compared to the effort required to introduce a different structure. C. Transistor Orientation Optimization Studies have been done to look at the effect of crystal orientation on the drive current of FinFETs. It has been found that NMOS device performs best for (100) orientation and PMOS device perform best for (110) orientations. The mobility enhancement for holes is of the order of %, which maps to about 20% increase in performance. On the other hand, using a (110) conduction path for NMOS devices reduces its performance by about 8%. [12] Even though a study has not been done specifically, by considering different orientations, one can probably tweak the sizing requirements by routing PMOS and NMOS devices in different orientations. Also effort can be made to account for the sizing by tweaking the ratio of current drive. More simulation studies need to be done to confidently claim the benefits of this approach. As one can clearly observe, even though this method can be studied, it is very difficult to implement it in practice as it involves challenges with routing the devices for an entire circuit. Different orientations present on the same layout may incur an area penalty. D. Layout modification One of the processing strategies for drawing the fins is by spacer lithography. This technique always produces fins in pairs, as shown in Figure 4. They need to be separated out if the fins need to be part of different transistors. The fin removal or separation is called a trim process and needs a separate mask. It has been found that by introducing the fin and trim masks in the layout intelligently, the quantization effect can be optimized. But this solution is typically not the best possible solution and requires further manual adjustments to the number of fins to get the most optimal circuit. IV. CIRCUITS Transistor sizing in conventional CMOS design is an efficient and powerful method that can be used to optimize power under delay constraints or optimize delay under power constraints. In addition, the reliability and operation of some types of circuits is highly dependent on the ratio of PMOS and NMOS transistor sizes. This is the case with latches, memory cells, and dynamic circuits. While FinFET technology provides digital designers significant advantages in the arena of leakage power management and performance in a sub-90nm regime, device-width quantization may have a significant impact on the delay, reliability and operation of circuits sensitive to β-ratios. Thus, an analysis of the impact of device-width quantization is necessary to evaluate whether it limits widespread adoption of FinFET devices in digital design. An inverter network, a six-transistor SRAM cell, and a clocked static SR flip-flop are chosen to represent three different types of circuits used in microprocessor design: combinational logic, memory, and sequential logic. Each circuit has varying degrees of sensitivity to transistor sizing. A. Inverter Network The inverter network is representative of combinational circuits whose operation and reliability is relatively independent of sizing. Such a network is shown in Figure 5. However, it is well-understood that sizing affects noise margins, performance,

4 EE 241 SPRING and power [11]. Therefore sizes for PMOS and NMOS transistors must be carefully selected to optimize the tradeoff between performance, reliability, and power. In [11] it is shown that the optimum β-ratio for an inverter is 2.4 when identical rising and falling delays are desired. This is the best operating point when designing for worst-case. In C L = 64C g,1 Figure 5: Inverter network It can be shown that for each logic gate and combinational network there is an optimal size for NMOS and PMOS transistors that achieves minimum delay given a power constraint or minimum power given a delay constraint [7, 11]. The flexibility in choosing from a set of continuous transistor sizes for both NMOS and PMOS allows us to formulate the problem as a convex optimization problem and solve it exactly. However, when it is necessary to map the optimal transistor sizes to a discrete set, the problem becomes much more complicated and is known to be computationally hard [13]. Heuristics exist to find the optimal mapping and in the case of discrete gate sizing, technology mapping algorithms are used to map gates to a set of discretely-sized gates to achieve the best possible performance [14]. In the case of FinFET-based combinational circuits, there are two issues that must be explored: 1. How does one achieve the optimal β-ratio for each gate given a set of discrete sizes for each type of transistors? It is no longer straightforward to apply the method of logical effort. 2. How does one size gates such that optimal performance is achieved under the given constraints? The second problem has already been investigated thoroughly in literature as a technology mapping problem [14] where a given circuit must be mapped to a library of standard cells that include only a discrete number of different sizes for each gate in the library. The problem is known to be NP-Complete, however, good heuristics exist that solve the problem efficiently and give good results [14]. The first problem is of interest to us in this study and we explore our options through simulation and use of Matlab scripts as described in Section I. C L B. SRAM Cell SRAM cells are building blocks for random-access memories (RAM). The cells must be sized as small as is possible to achieve high densities. However, correct read operation of the cell is dependent on careful sizing of M1 and M5. Correct write operation is dependent on careful sizing of M4 and M6. As explained in [11] the critical operation is reading from the cell. If M5 is made minimum-size, then M1 must be made large enough to limit the voltage rise on Q so that the M3-M4 inverter does not inadvertently switch and accidentally write a 1 into the cell. The correct size of M1 can be obtained via simulation or by solving the following constraint for a given voltage ripple, v: V v = Equation 1: Constraint on size of M1 and M5 For a FinFET SRAM cell, the device-width quantization limits the size of M1 to multiples of the fin height which would mean that M1 would either be larger or smaller than optimum for a single-gate design resulting in sub-optimal operation. BL DSATn M CR( VDD VTn ) VDSATn (1 + CR) + CR ( VDD V ) CR V DD M2 M4 Q Q M1 W1 CR = W WORDLINE - WL M3 Figure 6: SRAM cell C. Static SR Flip-Flop The static SR flip-flop is very similar to the SRAM memory cell; however, in this case extra NMOS transistors are added for clocked inputs which are used to drive the flip-flop from one state to the next. As explained in [11], the sizing of the transistors M5, M6, M7, and M8 is critical for correct operation once sizes for M1-M2 and M3-M4 inverters are chosen. The switching threshold for the ratioed inverter (M5-M6)-M2 must be below the switching threshold of the M3-M4 inverter to allow the flip-flop to switch from Q=0 to Q=1 state. The sizes for the transistors can be determined through simulation or by solving a constraint equation similar to Equation 1 where M5 and M6 can be taken together to form a single transistor with a length twice the length of the individual transistors. The device-width quantization issues are similar to ones 5 L1 L 5 M6 2 Tn BL

5 EE 241 SPRING discussed for the SRAM cell, however, in this case there are four transistors that must be carefully sized rather than just one. containing a large number of transistors and a good heuristic would be required. V DD M2 M4 Q Q A M4 B V DD M5 C M6 CLK S M6 M5 M1 M3 M8 M7 CLK R M1 A M2 B C 1 C L Figure 7: Static SR flip-flop C M3 C 2 D. Tapered Stacks Tapered stacks in circuits such as the NAND3 depicted in arise from sizing each transistor in a stack differently based on the load that the gate is driving. This can be useful in the case where the early arriving input is seen on the largest gate thereby minimizing load on gates with late arriving signals. For example, if input C arrives early on M3 and A arrives late on M1, then progressively sizing the transistors, with the smallest size transistor closer to the output, can help reduce delay by more than 20% [11]. However, these gains diminish as technology shrinks. There is a significant layout penalty for tapered stacks as diffusion sharing is no longer possible for stacked transistors and one must be careful in sizing the transistors to avoid loading the inputs too heavily. Device-width quantization can have an adverse affect on tapering stacks as the designer no longer has the flexibility to choose a constant tapering ratio as each transistor size must be a multiple of fin height. This would result in reduced gains in performance. V. WIDTH QUANTIZATION ALGORITHM One of the key issues in dealing with device-width quantization is mapping the optimal sizes for a design to a set of discrete sizes that are based on the fin height. Discrete optimization is known to be a hard problem in computer science literature [13]. Popular solutions include relaxation-based optimization followed by heuristics such as randomized rounding or approximation algorithms to map to discrete values. Unfortunately, creating a good heuristic for determining the optimum transistor widths that are discrete multiples of fin height is beyond the scope of this project. Our approach is simple. We enumerate all the possible sizes for all combinations of critical transistors and pick the sizes which result in the best performance-power tradeoff. This is a greedy and compute-intensive approach. It is formally known as branch-and-bound. We can do this efficiently for our set of circuits as the number of critical transistors that need to be sized carefully is small. This approach is impractical for circuits Figure 8: NAND3 with tapered stack VI. ANALYSIS METHODOLOGY Our analysis methodology consists of finding the optimum sizes for each transistor in each circuit for minimum delay and then mapping these sizes to multiples of device-width quanta such that we choose the size that gives us the best performance. Reliable operation of each circuit must also be guaranteed. We employ a branch-and-bound technique mentioned earlier to determine the best width for each transistor. An evaluation of the impact of each choice is determined based on noise margins, delay, and power. A combination of HSPICE and Matlab is used to evaluate each design choice. The Matlab scripts that we will create are a variant of the tool described in [6] that optimizes sizes for logic gates in a combinational gate-level netlist. Our scripts will take as input a transistor-level netlist and appropriate models for NMOS and PMOS transistors, and determine the best sizing for each transistor based on energy-delay tradeoffs. Once the optimum sizing is determined in the continuous domain, a simple algorithm is used to map these sizes to optimum discrete sizes; the choice of discrete size is based on energy-delay metrics. We propose to use models for a 90nm technology for which the fin height is 140nm [3]. HSPICE will be used to determine the stability of each circuit and evaluate the effect on noise margins when device width is varied in discrete increments. We would require HSPICE models for FinFET technology at the 90nm to complete our study. At the present, we are still investigating whether such models will be available for our use. A. Metrics The deviation in performance (power, delay, and signal margins) is quantified by comparing the energy and delay at the optimum design point in the continuous sizing domain versus the energy and delay obtained at the optimum point in the

6 EE 241 SPRING discrete domain. This tradeoff can be quantified using the energy-delay product (EDP). The effect on reliable operation of each circuit is measured in terms of noise margins for the inverter network; and the maximum allowable voltage ripple, v, at Q and Q for both the SRAM and flip-flop cells. VII. SUMMARY Device-width quantization has recently been identified as a possible technology disrupter for FinFET technology. Prior work shows that its effect on latch operation is negligible with a 8% impact on performance. Tri-gate structures and device orientation optimization are possible processing- and device-level solutions; however, these incur costs in processing and layout. Our study employs Matlab and HSPICE to evaluate the effect of device-width quantization on sizing of three different circuit types: inverter network, SRAM cell, and SR flip-flop. The differences between sizing in a continuous and discrete domains is quantified using the energy-delay product and effects on noise margins. REFERENCES [1] E. J. Nowak et. al., Scaling Beyond the 65nm Node with FinFET-DGCMOS, in Proceedings of IEEE Custom Integrated Circuits Conference, 2003, pp [2] K. Bernstein, C.-T. Chuang, R. Joshi, R. Puri, Design and CAD Challenges in Sub-90nm CMOS Technologies, in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov. 9-13, 2003, pp [3] E. J. Nowak et. al., Turning Silicon On Its Edge, IEEE Circuits and Devices Magazine, 20(1):20-31, Jan-Feb [4] T. Ludwig et. al., FinFET Technology For Future Microprocessors, in Proceedings of IEEE International SOI Conference, 2003, pp [5] H. Qin, T. Ludwig, K. Bernstein, J. Rabaey, E. Nowak, The Impact of Width Granularity on FinFET Latch Operation and Optimization, submitted to 2004 Symposium on VLSI Circuits. [6] E. J. Nowak et. al., A Functional FinFET-DGCMOS SRAM Cell, in IEDM Technical Digest, 2002, pp [7] R. Zlatanovici and B. Nikolić, Power-Performance Optimal 64-bit Carry-Lookahead Adders, in Proceedings of European Solid State Circuits Conference (ESSCIRC), 2003, pp [8] Leland Chang et. al, Moore s Law lives on, IEEE Circuits and Devices Magazine, Jan. 2003, pp [9] B. Doyle et. al., Tri-Gate Fully Depleted CMOS Transistors: Fabrication, Design and Layout, in Symp. On VLSI Technology, 2002, pp [10] Y.-K. Choi, T.-J. King and C. Hu, A spacer patterning technology for nanoscale CMOS, IEEE Trans. Elec. Dev., vol. 49, no. 3, pp , March [11] J. M. Rabaey, A. Chandrakasan, B. Nikolic, Digital Integrated Cricuits: A Design Perspective, Second Edition, Prentice-Hall, New Jersey, [12] L. Chang, Nanoscale Thin-body CMOS Devices, PhD Thesis, University of California, Berkeley, Dept. of Electrical Engineering and Computer Science, [13] T. H. Cormen, C. E. Leiserson, R. L. Rivest, C. Stein, Introduction to Algorithms, Second Edition, McGraw-Hill Book Company/MIT Press, Cambridge, MA, [14] S. Hassoun, and T. Sasao, (Eds.), Logic Synthesis and Verification, Kluwer Academic Publishing, Boston, MA, 2002.

FinFETs & SRAM Design

FinFETs & SRAM Design FinFETs & SRAM Design Raymond Leung VP Engineering, Embedded Memories April 19, 2013 Synopsys 2013 1 Agenda FinFET the Device SRAM Design with FinFETs Reliability in FinFETs Summary Synopsys 2013 2 How

More information

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current Hiroshi Kawaguchi, Ko-ichi Nose, Takayasu Sakurai University of Tokyo, Tokyo, Japan Recently, low-power requirements are

More information

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Reduction Stephanie Augsburger 1, Borivoje Nikolić 2 1 Intel Corporation, Enterprise Processors Division, Santa Clara, CA, USA. 2 Department

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Swetha Kanchimani M.Tech (VLSI Design), Mrs.Syamala Kanchimani Associate Professor, Miss.Godugu Uma Madhuri Assistant Professor, ABSTRACT:

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #9: Sequential Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Review: Static CMOS Logic Finish Static CMOS transient analysis Sequential

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

140 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 2, FEBRUARY 2004

140 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 2, FEBRUARY 2004 140 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 2, FEBRUARY 2004 Leakage Current Reduction in CMOS VLSI Circuits by Input Vector Control Afshin Abdollahi, Farzan Fallah,

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312 14 12 10 8 6 Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP) 0 1950 1960 1970 1980

More information

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor 14 12 10 8 6 IBM ES9000 Bipolar Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP)

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

Noise Margin in Low Power SRAM Cells

Noise Margin in Low Power SRAM Cells Noise Margin in Low Power SRAM Cells S. Cserveny, J. -M. Masgonty, C. Piguet CSEM SA, Neuchâtel, CH stefan.cserveny@csem.ch Abstract. Noise margin at read, at write and in stand-by is analyzed for the

More information

A Design for Improved Very Low Power Static Flip Flop Using Two Inverters and Five NORs

A Design for Improved Very Low Power Static Flip Flop Using Two Inverters and Five NORs A Design for Improved Very Low Power Static Flip Flop Using Two Inverters and Five NORs Jogi Prakash 1, G. Someswara Rao 2, Ganesan P 3, G. Ravi Kishore 4, Sandeep Chilumula 5 1 M Tech Student, 2, 4, 5

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 1, Issue 5, August 2014, PP 34-41 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org Low

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

Project 6: Latches and flip-flops

Project 6: Latches and flip-flops Project 6: Latches and flip-flops Yuan Ze University epartment of Computer Engineering and Science Copyright by Rung-Bin Lin, 1999 All rights reserved ate out: 06/5/2003 ate due: 06/25/2003 Purpose: This

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches Low-Power and Area-Efficient Shift Register Using Pulsed Latches G.Sunitha M.Tech, TKR CET. P.Venkatlavanya, M.Tech Associate Professor, TKR CET. Abstract: This paper proposes a low-power and area-efficient

More information

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction 1 Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction Assistant Professor Office: C3.315 E-mail: eman.azab@guc.edu.eg 2 Course Overview Lecturer Teaching Assistant Course Team E-mail:

More information

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications International Journal of Scientific and Research Publications, Volume 5, Issue 10, October 2015 1 Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications S. Harish*, Dr.

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 19.5 A Clock Skew Absorbing Flip-Flop Nikola Nedovic 1,2, Vojin G. Oklobdzija 2, William W. Walker 1 1 Fujitsu Laboratories of America,

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop using Modified GDI Technique in 130 nm Technology

Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop using Modified GDI Technique in 130 nm Technology International Journal of Engineering and Technical Research (IJETR) ISSN: 2321-0869 (O) 2454-4698 (P), Volume-5, Issue-2, June 2016 Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop

More information

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE Design and analysis of RCA in Subthreshold Logic Circuits Using AFE 1 MAHALAKSHMI M, 2 P.THIRUVALAR SELVAN PG Student, VLSI Design, Department of ECE, TRPEC, Trichy Abstract: The present scenario of the

More information

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Course Number: ECE 533 Spring 2013 University of Tennessee Knoxville Instructor: Dr. Syed Kamrul Islam Prepared by

More information

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312 14 12 10 8 6 Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP) 0 1950 1960 1970 1980

More information

Comparative study on low-power high-performance standard-cell flip-flops

Comparative study on low-power high-performance standard-cell flip-flops Comparative study on low-power high-performance standard-cell flip-flops S. Tahmasbi Oskuii, A. Alvandpour Electronic Devices, Linköping University, Linköping, Sweden ABSTRACT This paper explores the energy-delay

More information

Sequential Logic. References:

Sequential Logic. References: Sequential Logic Reerences: Adapted rom: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles o CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

II. ANALYSIS I. INTRODUCTION

II. ANALYSIS I. INTRODUCTION Characterizing Dynamic and Leakage Power Behavior in Flip-Flops R. Ramanarayanan, N. Vijaykrishnan and M. J. Irwin Dept. of Computer Science and Engineering Pennsylvania State University, PA 1682 Abstract

More information

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015 Power and Area analysis of Flip Flop using different s Neha Thapa 1, Dr. Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department of E.C.E, NITTTR,

More information

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic K.Vajida Tabasum, K.Chandra Shekhar Abstract-In this paper we introduce a new high performance dynamic hybrid

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 25: Sequential Logic: Flip-flop Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: pzarkesh.unm.edu Slide: 1 Review of Last

More information

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

IMPACT OF PROCESS VARIATIONS ON SOFT ERROR SENSITIVITY OF 32-NM VLSI CIRCUITS IN NEAR-THRESHOLD REGION. Lingbo Kou. Thesis

IMPACT OF PROCESS VARIATIONS ON SOFT ERROR SENSITIVITY OF 32-NM VLSI CIRCUITS IN NEAR-THRESHOLD REGION. Lingbo Kou. Thesis IMPACT OF PROCESS VARIATIONS ON SOFT ERROR SENSITIVITY OF 32-NM VLSI CIRCUITS IN NEAR-THRESHOLD REGION By Lingbo Kou Thesis Submitted to the Faculty of the Graduate School of Vanderbilt University in partial

More information

24. Scaling, Economics, SOI Technology

24. Scaling, Economics, SOI Technology 24. Scaling, Economics, SOI Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 December 4, 2017 ECE Department, University

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder Dept. of Electrical and Computer Engineering University of California, Davis Issued: November 2, 2011 Due: November 16, 2011, 4PM Reading: Rabaey Sections

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design S. Karpagambal, PG Scholar, VLSI Design, Sona College of Technology, Salem, India. e-mail:karpagambals.nsit@gmail.com M.S. Thaen

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Lecture 1: Circuits & Layout

Lecture 1: Circuits & Layout Lecture 1: Circuits & Layout Outline A Brief History CMOS Gate esign Pass Transistors CMOS Latches & Flip-Flops Standard Cell Layouts Stick iagrams 2 A Brief History 1958: First integrated circuit Flip-flop

More information

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT Sripriya. B.R, Student of M.tech, Dept of ECE, SJB Institute of Technology, Bangalore Dr. Nataraj.

More information

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application A Novel Low-overhead elay Testing Technique for Arbitrary Two-Pattern Test Application Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy School of Electrical and Computer Engineering,

More information

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm Overview: In this assignment you will design a register cell. This cell should be a single-bit edge-triggered D-type

More information

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Divya shree.m 1, H. Venkatesh kumar 2 PG Student, Dept. of ECE, Nagarjuna College of Engineering

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

Design of Low Power Universal Shift Register

Design of Low Power Universal Shift Register Design of Low Power Universal Shift Register 1 Saranya.M, 2 V.Vijayakumar, 3 T.Ravi, 4 V.Kannan 1 M.Tech-VLSI design, Sathyabama University, Jeppiaar Nagar, Rajiv Gandhi Salai, Chennai 119 2 Assistant

More information

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented.

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented. Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks A Thesis presented by Mallika Rathore to The Graduate School in Partial Fulfillment of the Requirements

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements Available online at: http://www.ijmtst.com/ncceeses2017.html Special Issue from 2 nd National Conference on Computing, Electrical, Electronics and Sustainable Energy Systems, 6 th 7 th July 2017, Rajahmundry,

More information

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad Power Analysis of Sequential Circuits Using Multi- Bit Flip Flops Yarramsetti Ramya Lakshmi 1, Dr. I. Santi Prabha 2, R.Niranjan 3 1 M.Tech, 2 Professor, Dept. of E.C.E. University College of Engineering,

More information

Study of Pattern Area Reduction. with FinFET and SGT for LSI

Study of Pattern Area Reduction. with FinFET and SGT for LSI Contemporary Engineering Sciences, Vol. 6, 2013, no. 4, 177-190 HIKRI Ltd, www.m-hikari.com Study of Pattern rea Reduction with FinFET and SGT for LSI Takahiro Kodama Japan Process Development Co., Ltd.

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains. Outline

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains. Outline eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California Farzan Fallah Fujitsu aboratories of America Massoud Pedram University of Southern

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 5 Sequential circuits design - Timing issues ELEN0040 5-228 1 Sequential circuits design 1.1 General procedure 1.2

More information

Hardware Design I Chap. 5 Memory elements

Hardware Design I Chap. 5 Memory elements Hardware Design I Chap. 5 Memory elements E-mail: shimada@is.naist.jp Why memory is required? To hold data which will be processed with designed hardware (for storage) Main memory, cache, register, and

More information

High Performance Carry Chains for FPGAs

High Performance Carry Chains for FPGAs High Performance Carry Chains for FPGAs Matthew M. Hosler Department of Electrical and Computer Engineering Northwestern University Abstract Carry chains are an important consideration for most computations,

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Jörn Gause Abstract This paper presents an investigation of Look-Up Table (LUT) based Field Programmable Gate Arrays (FPGAs)

More information

SA4NCCP 4-BIT FULL SERIAL ADDER

SA4NCCP 4-BIT FULL SERIAL ADDER SA4NCCP 4-BIT FULL SERIAL ADDER CLAUZEL Nicolas PRUVOST Côme SA4NCCP 4-bit serial full adder Table of contents Deeper inside the SA4NCCP architecture...3 SA4NCCP characterization...9 SA4NCCP capabilities...12

More information

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology 1 S.MANIKANTA, PG Scholar in VLSI System Design, 2 A.M. GUNA SEKHAR Assoc. Professor, HOD,

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute DIGITL TECHNICS Dr. álint Pődör Óbuda University, Microelectronics and Technology Institute 10. LECTURE (LOGIC CIRCUITS, PRT 2): MOS DIGITL CIRCUITS II 2016/2017 10. LECTURE: MOS DIGITL CIRCUITS II 1.

More information

ECE 555 DESIGN PROJECT Introduction and Phase 1

ECE 555 DESIGN PROJECT Introduction and Phase 1 March 15, 1998 ECE 555 DESIGN PROJECT Introduction and Phase 1 Charles R. Kime Dept. of Electrical and Computer Engineering University of Wisconsin Madison Phase I Due Wednesday, March 24; One Week Grace

More information

Analysis and Optimization of Sequential Circuit Elements to Combat Single-Event Timing Upsets

Analysis and Optimization of Sequential Circuit Elements to Combat Single-Event Timing Upsets Analysis and Optimization of Sequential Circuit Elements to Combat Single-Event Timing Upsets Hamed Abrishami, Safar Hatami, and Massoud Pedram University of Southern California Department of Electrical

More information

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2 ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2 The goal of this project is to design a chip that could control a bicycle taillight to produce an apparently random flash sequence. The chip should operate

More information

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE Keerthana S Assistant Professor, Department of Electronics and Telecommunication Engineering Karpagam College of Engineering

More information

Design of Conditional-Boosting Flip-Flop for Ultra Low Power Applications

Design of Conditional-Boosting Flip-Flop for Ultra Low Power Applications Design of Conditional-Boosting Flip-Flop for Ultra Low Power Applications Jalluri Jyothi Swaroop Department of Electronics and Communications Engineering, Sri Vasavi Institute of Engineering & Technology,

More information

Digital Integrated Circuits A Design Perspective Solution

Digital Integrated Circuits A Design Perspective Solution We have made it easy for you to find a PDF Ebooks without any digging. And by having access to our ebooks online or by storing it on your computer, you have convenient answers with digital integrated circuits

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 06 December 2015 ISSN (online): 2349-784X Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop Amit Saraswat Chanpreet

More information

An efficient Sense amplifier based Flip-Flop design

An efficient Sense amplifier based Flip-Flop design An efficient Sense amplifier based Flip-Flop design Rajendra Prasad and Narayan Krishan Vyas Abstract An efficient approach for sense amplifier based flip-flop design has been introduced in this paper.

More information

International Journal of Advancements in Research & Technology, Volume 2, Issue5, May ISSN

International Journal of Advancements in Research & Technology, Volume 2, Issue5, May ISSN International Journal of Advancements in Research & Technology, Volume 2, Issue5, May-2013 5 Studying Impact of Various Leakage Current Reduction Techniques on Different D-Flip Flop Architectures Anbarasu.W,

More information

Area Efficient Level Sensitive Flip-Flops A Performance Comparison

Area Efficient Level Sensitive Flip-Flops A Performance Comparison Area Efficient Level Sensitive Flip-Flops A Performance Comparison Tripti Dua, K. G. Sharma*, Tripti Sharma ECE Department, FET, Mody University of Science & Technology, Lakshmangarh, Rajasthan, India

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online: ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING PULSED LATCH #1 GUNTI SUMANJALI, M.Tech Student, #2 V.SRIDHAR, Assistant Professor, Dept of ECE, MOTHER THERESSA COLLEGE OF ENGINEERING &

More information

Energy-Delay Space Analysis for Clocked Storage Elements Under Process Variations

Energy-Delay Space Analysis for Clocked Storage Elements Under Process Variations Energy-Delay Space Analysis for Clocked Storage Elements Under Process Variations Christophe Giacomotto 1, Nikola Nedovic 2, and Vojin G. Oklobdzija 1 1 Advanced Computer Systems Engineering Laboratory,

More information

EECS150 - Digital Design Lecture 17 - Circuit Timing. Performance, Cost, Power

EECS150 - Digital Design Lecture 17 - Circuit Timing. Performance, Cost, Power EECS150 - Digital Design Lecture 17 - Circuit Timing March 10, 2011 John Wawrzynek Spring 2011 EECS150 - Lec16-timing Page 1 Performance, Cost, Power How do we measure performance? operations/sec? cycles/sec?

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

COE328 Course Outline. Fall 2007

COE328 Course Outline. Fall 2007 COE28 Course Outline Fall 2007 1 Objectives This course covers the basics of digital logic circuits and design. Through the basic understanding of Boolean algebra and number systems it introduces the student

More information

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN G.Swetha 1, T.Krishna Murthy 2 1 Student, SVEC (Autonomous),

More information

VLSI Design Digital Systems and VLSI

VLSI Design Digital Systems and VLSI VLSI Design Digital Systems and VLSI Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author 1 Overview Why VLSI? IC Manufacturing CMOS Technology

More information

Design and Analysis of a Linear Feedback Shift Register with Reduced Leakage Power

Design and Analysis of a Linear Feedback Shift Register with Reduced Leakage Power Design and Analysis of a Linear Feedback Shift Register with Reduced Leakage Power M. Janaki Rani Research scholar, Sathyabama University, Chennai, India S. Malarkkan Principal, ManakulaVinayagar Institute

More information