CARLETON UNIVERSITY. Facts without theory is trivia. Theory without facts is bull 2607-LRB

Size: px
Start display at page:

Download "CARLETON UNIVERSITY. Facts without theory is trivia. Theory without facts is bull 2607-LRB"

Transcription

1 CARLETON UNIVERSITY Deparment of Electronics ELEC 267 Switching Circuits February 7, 25 Facts without theory is trivia. Theory without facts is bull Anon Laboratory 3.: The T-Bird Tail-Light Control Using a CPLD FIGURE 3. The focal point for this lab: 267-LRB Overview The T-Bird of this lab, has two sets of three tail lights in a row. The direction signals of this car sequence in. Thus before a right turn, the right lights would flash in the following sequence. There is half a second between each step in the sequence. Then the sequence repeats. The lights are controlled by three switches, labeled L, R, and B. Turning on both L and R turns on the emergency flashers. Then all six lights flash are on for half-a-second and off for half-a-second. When L and R are both off, pushing B lights all six lights. If L or R (not both) is on with B, the tail lights on the appropriate side should flash as for a turn. The lights on the other side should be steady light to show braking The steady brake light overrides the ency flashers. L B R Left signal Brake Right signal The designs will use a continuously running clock which is not turned on and off.. Some usually reliable people say this should be a Cougar. SWITCHING CIRCUITS J.Knight, 2/7/5

2 3. A Block Diagram of a Possible Circuit One always tries to design a circuit by partitioning it into smaller circuits. One partitioning gives three subcircuits:. A counter which emits four signals that go on and off at the proper s for controlling the lights. 2 and 3. Left and right control boxes that apply the counter signals to the correct lights. FIGURE 3.shows such a partition. FIGURE 3. The block diagram of a possible implementation of the T-Bird tail lights. BULB_L3 BULB_L2 LEFT CONTROL BOX BULB_L 3-wires in a cable BULB_R BULB_R2 RIGHT CONTROL BOX BULB_R V CLOCK 8 to 6 HZ Lite_! L B Left signal f Clock divider Slows the clock ~ HZ clk COUNTER R Brake Right signal The counter uses a flip-flop to generate the four waveforms from the clock. The control boxes take the waveforms and route them to the proper lights. There are no flip-flops in the control boxes. For example, for the emergency flashers, (L and R both on), the control boxes would send the waveform to all the tail lights. Alternately, when L is on, it would send to BULB_L, to BULB_L2, and to BULB_L3 The COUNTER circuit generates all four waveforms from the square wave, and a halffrequency signal obtained by dividing the clock by two. See FIGURE 3.2. FIGURE 3.2 Inside COUNTER, there is a flip-flop which divides the input clock by two. This gives a signal of half the clock frequency. This and the clock signal are used to generate the other four signals. ency Q = divide by two.s Q The clock is a square wave. The circuit shown here differs slightly from the one you will be building. In the lab, you may have to add the two redundant inverters shown, because the software does not like wires to go directly from an input to an output. Department of Electronics February 7, 25 2

3 3.2 The T-Bird COUNTER Circuit During turns, the lights must sequence - -> -> -> -> {4-state sequence} These are four different sets of output values which come at four different s, the last is a repeat. One must have some way to tell which of the four steps one is in. The signal is a square wave. One can use = to distinguish one interval and = to distinguish another. But this only distinguishes two different intervals. Here we use another signal Q, which runs at half the clock frequency. The combination of the and Q lets us distinguish 4 unique intervals which can be used to generate,, and The Q signal sequence used in FIGURE 3.2 gave the sequence - Q steps: -> -> -> -> This system could be said to have 4 states. Here this is done using the and one flip-flop The Design of The Divide by Two Circuit In order to divide by two, one must have a circuit that can remember. The most common memory element in logic circuits is called the D flip flop. The D Flip-Flop. FIGURE 3.3 Rising edge This flip-flop has two inputs, D and C, and an output Falling edge D Q usually called Q. The C or clock input has a square wave applied to it. The D input receives the signal to be remembered. 3 C indicates D just before the clock rises. When the clock rises (goes from to ) the flip flop output Q will capture the value of the D input just before and at shows how long Q remembers that D. show where Q starts to remember that D the of this rising clock edge. It remember that value of D D for the rest of the clock cycle. After that rising edge, D can change, and the clock can fall back to zero. Nothing will change Q until another rising clock edge. Then, if D has changed, Q will change. Q =C The Divide By Two Circuit The clock is a square wave. The rising clock edge here is called the active edge. This circuit generates a square wave at half the clock FIGURE 3.4 D Q frequency. It does this by making a flip-flop change the Q C value on every second rising clock edge. Q will change if and only if D changes. Values of D needed to make Q run at half the clock frequency. We only care about D just Thus one needs a D signal that inverts every rising clock before and at the rising clock edge (green line) edge. This turns out to be easy to find. Look just before the clock edge. What is the relationship between the desired D and the value of Q before the edge.? D Q =C 2. In ELEC35 you will be taught that the clock should go only to the flip-flop clk inputs, and not to the D input, or gates that feed the D input of other flip-flops. To do otherwise can cause timing problems. The circuits in the lectures all send the clock only to flip-flop clock inputs. However it is all right for the clock to feed gates that only go to outputs, and these gates never fed into the D inputs of other flip-flops. For the T-Bird lights, we save half the flip-flops ( in this case) by letting the clock directly feed the gates that control the output lights. 3. Many flip-flops also have a reset input, R which will come up later. They may also have a Q output. Department of Electronics February 7, 25 3

4 Remember it does not matter where the D signal changes as long as it has the desired value just before and at the rising clock edge. If D changes just after the clock edge, Q does not change. Thus to divide the clock frequency by two one connects Q back to the D input. The Timing Circuit Signals, Q, You will need to work out the exact waveforms for Q and. /2 will have a different phase relationship with, than that shown in FIGURE Fill in the waveform for Q and D in the space given in FIGURE 3.5. Take Q = at the start as shown, and then take D = Q. (D is already done at the start.) () From D at the st clock edge, you can tell what Q will be for the rest of the cycle. (2) From this Q, you can tell what D will be for the rest of the st clock cycle. (3) The D during this first cycle will be the D at the next rising clock edge. From this D you can tell what Q will be for the 2nd clock cycle. (4) Continue; one waveform error will mess up the rest of the lab big. Flip Flop Reset Besides and D, practical flip-flops have one other input called Reset or R. Whenever R= the Q output immediately and unconditionally goes to. You will be connecting your reset pin to a wire called RST which will allow you to reset Q to when you press a button COUNTER Outputs The clock is a square wave. The active clock edge here is the rising edge. D The box called COUNTER has four outputs. Three of the outputs are used to flash the turn signals in the proper sequence. The signal flashes the lights on and off every cycle. If the flashing cycle starts at Q = all four signals should be off. Assuming you got Q = at the end of your flashing sequence, then all three LITE signals and should be on. FIGURE 3.6 Q,,,, Q. s D Q Q = /2 /2 FIGURE 3.5 The signals Q and. One must know exactly where the Q =/2 signal rises, in order to derive the logic to generate the Lite and signals. D=Q,,,,,, Q R divideby two Fill in Q, simultaneously fill in D= Q Table : State Truth table with values of Q shown in sequence Outputs Q From FIGURE 3.5, you can fill in values for Q. From FIGURE 3.6, you can fill in the values for the various LITE_ signals. Department of Electronics February 7, 25 4

5 From the truth table (Table I), derive the equations for the outputs, much like you did in the last two labs. = = = = Q 3.3 The Left Control Box The four inputs from COUNTER to the control box are:,,, and. There are also three switch inputs: L, left turn signal R, right turn signal B, brake Table 2: shows the type of output for the left side, for different switch inputs. From the table, and the Overview on page, it is relatively easy to derive the left-side output signals. Switches B L R Table 2: Flash Turn Sig The Left Side Output Type for Various Switch Inputs Type of outputs for (Left side) Flash Steady Brake Fill in the blank spots. If the L is on alone (BLR) or with B signal (BLR), then flash BULB_L in with the signal. If both L and R are on with no brake (BLR), then flash BULB_L in with the signal. With B and L, hold a steady on BULB_L Also if all three are on (BLR) the brake overrides the ency flashers. These three statements are described in the equation BULB_L = ()(BLR + BLR) + ()(BLR) + (BL R + BLR + BLR) (Equ ) This equation can be reduced easily(?) with a variable entered Karnaugh map as described in the lectures. The variables and, which only appear once each, are entered as variables on the map. This avoids a 32 square 5-variable map. Use the map to reduce the equation to BULB_L = ()LR + ()(BLR) + (BL + BR) If you can t figure out this map, use a 32 square map, or simplify by algebra. In the same way as for (Equ ), the other bulbs should light when: LR B BULB_L2 = ()(BLR + BLR) + ()(BLR) + (BL R + BLR + BLR) (Equ 2) BULB_L3 = ()(BLR + BLR) + ()(BLR) + (BL R + BLR + BLR) (Equ 3) Do a logic reduction on the expressions for BULB_L2 and BULB_L3. Department of Electronics February 7, 25 5

6 3.4 The Prelab (This must be prepared prior the scheduled lab session.). Design the divide-by-two circuit. Draw the schematic. 2. Derive the waveform for Q, the output of the divide-by-two circuit, and D, in relation to the signal; use a rising-edge-triggered flip-flop. 3. Derive the logic to calculate the three Lite_- and the signals from Q and. Draw the circuit. 4. Finish the derivation and simplification of the equations for the Left Control Box relating BULB_L, BULB_L2, BULB_L3 to the B, L and R switches as well as to the three Lite_- and the signals. Q D=Q Draw the circuit.. s 5. Derive the equations for the Right Control Box. Draw the circuit. 6. Look at the sample test fixture file shown on page 6. You will be given a file like that one. How many input combinations can be done with three input switches? Which tests should be added? Write down what must be added to the Test Fixture file to include them. 3.5 In the Laboratory The software used will be the Xilinx ECS Graphic Entry System, and the logic will be simulated using the ModelSim simulator. In your schematics, use the fdc flip-flop symbol You will be then programming hardware on a CPLD (complex Programmable Logic Device), so you can see the lights flashing. Unfortunately a T-Bird is not supplied Simulation The Verilog test fixture file To simulate your circuit, you need a file to generate the clock and switch signals. This file is usually called a test bench file. The ECS software calls it a.tf or test fixture file. This file is given at the end of the lab. // precedes a comment. #3 means a delay of 3 units before the next instruction is simulated. The units for this simulation are seconds, as given by the `scale s / ms command. The delays accumulate., thus #7 x= ; #5 y = ; give a total delay of 2 before the simulator finishes making y zero. The included test fixture file generates signals for only four of the possible button combinations. You must add statements to test the others. Print out a copy of your revised test fixture file (up to the $stop) for your report. Department of Electronics February 7, 25 6

7 Sample Verilog Test Fixture File for the Simulator // Verilog tbirdtestfixture.tf - Feb 5, 25 `scale s / ms // Means # represents a sec delay, min resolvable is ms. (#.) module TBirdTestFixture; // Outputs from your circuit wire BULB_L, BULB_L2, BULB_L3, BULB_R, BULB_R2, BULB_R3; // Inputs to your circuit reg, L, R, B, RST; // Generate a clock initial =; always #.5 =~ initial begin // Set all the switches low initially L=; R=; B=; // An initial reset makes Q = at the start of the simulation. RST = ; #. RST = ; // Set RST high after a. s delay #.7 RST = ; // Set RST low after an additional.7 s delay //Test : No switches on L = ; R = ; B = ; #5 // Delay 5s for a total of 6.8s #3 // Delay 3s for a total of 9.8s //Test : Left signal; // These tests start about sec. L = ; // B and R are still at #7 // Delay 7s for a total of 6.8s L = ; #3 // Delay 3s for a total of 9.8s //Test 2: Right signal on, Left off; 2 sec R = ; L = ; #7 // Delay 7s for a total of 26.8s R = ; #3 // Delay 3s for a total of 29.8s B R BULB_L //Test 3: Brake; 3 sec BULB_L2 B = ; R = ; #7 // Delay 7s for a total of 36.8s B = ; #3 // Delay 3s for a total of 39.8s BULB_L3 BULB_R BULB_R2 //Test 4: Brake and left flashers; 4 sec B = ; L = ; #7 // Delay 7s for a total of 46.8s BULB_R3 L = ; B = ; #3 // Leave some flat space between tests // It is easy to find test 5 if it starts at about 5 s // Test 5: Q L The actual test fixture uses f which is 8Hz. A divider in tbirdtop.sch divides this frequency by 8 to generate a Hz clock called. This test fixture pretends it generates a Hz here, which is what you may pretend when adding your tests RST Typical simulation results for L only followed by L and B, followed by B alone. Your simulation will be longer, and differ in detail, from these. Left signal turned on brake pushed right signal off Left turn Left turn and Brake left signal off # $stop; // When one reaches here, the simulation is over. end Department of Electronics February 7, 25 7

8 3.5.2 Implementation This program was intended to be implemented a Complex Programmable Logic Device (CPLD). The particular device will be a Xilinx XCR364XL-6 PC44, 44-pin logic-array. They run from a 3.3 V power supply and have a pin-to-pin delay of 2ns. Obviously your tail lights will not need to run that fast. It is basically a large collection of ANDs feeding a smaller collection of ORs, which feed flip-flops. How these arrays work will be described later in the Array Logic course notes. f and The circuit board containing the CPLD has a clock generator on it. This generator is set up for the MIDI lab and is so fast that the tail-light flashes become a blur. For this reason there is a frequency divider circuit built into the tbirdtop.sch file, which divides down the 8 Hz f signal to a Hz for flash timing. Department of Electronics February 7, 25 8

1. Synopsis: 2. Description of the Circuit:

1. Synopsis: 2. Description of the Circuit: Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: This lab gives you more exercise in schematic entry, state machine design using the one-hot state method, further understanding

More information

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017 University of Texas at El Paso Electrical and Computer Engineering Department EE 2169 Laboratory for Digital Systems Design I Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift

More information

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit:

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit: Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: This lab gives you more exercise in schematic entry, state machine design using the one-hot state method, further understanding

More information

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of 1 The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of the AND gate, you get the NAND gate etc. 2 One of the

More information

EE 200 Problem Set 3 Cover Sheet Fall 2015

EE 200 Problem Set 3 Cover Sheet Fall 2015 EE 200 Problem Set 3 Cover Sheet Fall 2015 Last Name (Print): First Name (Print): PSU User ID (e.g. xyz1234): Section: Submission deadline: All work is due by Monday 21 September at 4 pm. Written work

More information

CARLETON UNIVERSITY. The Tug-of-War Game. Player 1 RESET

CARLETON UNIVERSITY. The Tug-of-War Game. Player 1 RESET ARLETON UNIVERSITY Deparment of Electronics ELE 3500 Digital Electronics October 17, 2006. The Tug-of-War Game Rev 11. 1.0 The Game : Overview The players of this game see a row of 7 LEDs represented by

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Spring 2000 Lab 2 Finite State Machine 1 Objectives You will enter and debug

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Fall 2000 Original Lab By: J.Wawrzynek and N. Weaver Later revisions by R.

More information

Final Exam review: chapter 4 and 5. Supplement 3 and 4

Final Exam review: chapter 4 and 5. Supplement 3 and 4 Final Exam review: chapter 4 and 5. Supplement 3 and 4 1. A new type of synchronous flip-flop has the following characteristic table. Find the corresponding excitation table with don t cares used as much

More information

CPS311 Lecture: Sequential Circuits

CPS311 Lecture: Sequential Circuits CPS311 Lecture: Sequential Circuits Last revised August 4, 2015 Objectives: 1. To introduce asynchronous and synchronous flip-flops (latches and pulsetriggered, plus asynchronous preset/clear) 2. To introduce

More information

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M CSE-4523 Latches and Flip-flops Dr. Izadi NOR gate property: A B Z A B Z Cross coupled NOR gates: S M S R M R S M R S R S R M S S M R R S ' Gate R Gate S R S G R S R (t+) S G R Flip_flops:. S-R flip-flop

More information

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall Objective: - Dealing with the operation of simple sequential devices. Learning invalid condition in

More information

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm)

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm) Switching Circuits & Logic Design, Fall 2011 Final Examination (1/13/2012, 3:30pm~5:20pm) Problem 1: (15 points) Consider a new FF with three inputs, S, R, and T. No more than one of these inputs can be

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

Digital Circuit Engineering

Digital Circuit Engineering Digital Circuit Engineering 2nd Distributive ( + A)( + B) = + AB Circuits that work in a sequence of steps Absorption + A = + A A+= THESE CICUITS NEED STOAGE TO EMEMBE WHEE THEY AE STOAGE D MU G M MU S

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 03 February 09, 2012 Dohn Bowden 1 Today s Lecture Registers and Counters Chapter 12 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science SOLUTIONS

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science SOLUTIONS University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 5 Fall 25 R. H. Katz SOLUTIONS Problem Set #3: Combinational and Sequential Logic

More information

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005 EE178 Lecture Module 4 Eric Crabill SJSU / Xilinx Fall 2005 Lecture #9 Agenda Considerations for synchronizing signals. Clocks. Resets. Considerations for asynchronous inputs. Methods for crossing clock

More information

Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10

Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10 School Course Name : : ELECTRICAL ENGINEERING 2 ND YEAR ELECTRONIC DESIGN LAB Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10 School of

More information

EECS 140 Laboratory Exercise 7 PLD Programming

EECS 140 Laboratory Exercise 7 PLD Programming 1. Objectives EECS 140 Laboratory Exercise 7 PLD Programming A. Become familiar with the capabilities of Programmable Logic Devices (PLDs) B. Implement a simple combinational logic circuit using a PLD.

More information

Section 6.8 Synthesis of Sequential Logic Page 1 of 8

Section 6.8 Synthesis of Sequential Logic Page 1 of 8 Section 6.8 Synthesis of Sequential Logic Page of 8 6.8 Synthesis of Sequential Logic Steps:. Given a description (usually in words), develop the state diagram. 2. Convert the state diagram to a next-state

More information

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE NORTHWESTERN UNIVERSITY TECHNOLOGICL INSTITUTE ECE 270 Experiment #8 DIGITL CIRCUITS Prelab 1. Draw the truth table for the S-R Flip-Flop as shown in the textbook. Draw the truth table for Figure 7. 2.

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

UNIT IV. Sequential circuit

UNIT IV. Sequential circuit UNIT IV Sequential circuit Introduction In the previous session, we said that the output of a combinational circuit depends solely upon the input. The implication is that combinational circuits have no

More information

EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited

EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited April 2, 2013 John Wawrzynek Spring 2013 EECS150 - Lec19-fsm Page 1 Finite State Machines (FSMs) FSM circuits are a type of sequential

More information

COMP2611: Computer Organization. Introduction to Digital Logic

COMP2611: Computer Organization. Introduction to Digital Logic 1 COMP2611: Computer Organization Sequential Logic Time 2 Till now, we have essentially ignored the issue of time. We assume digital circuits: Perform their computations instantaneously Stateless: once

More information

ECE 2274 Pre-Lab for Experiment Timer Chip

ECE 2274 Pre-Lab for Experiment Timer Chip ECE 2274 Pre-Lab for Experiment 6 555 Timer Chip Introduction to the 555 Timer The 555 IC is a popular chip for acting as multivibrators. Go to the web to obtain a data sheet to be turn-in with the pre-lab.

More information

Inside Digital Design Accompany Lab Manual

Inside Digital Design Accompany Lab Manual 1 Inside Digital Design, Accompany Lab Manual Inside Digital Design Accompany Lab Manual Simulation Prototyping Synthesis and Post Synthesis Name- Roll Number- Total/Obtained Marks- Instructor Signature-

More information

LATCHES & FLIP-FLOP. Chapter 7

LATCHES & FLIP-FLOP. Chapter 7 LATCHES & FLIP-FLOP Chapter 7 INTRODUCTION Latch and flip flops are categorized as bistable devices which have two stable states,called SET and RESET. They can retain either of this states indefinitely

More information

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 220. Experiment 4 - Latches and Flip-Flops

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 220. Experiment 4 - Latches and Flip-Flops DLHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 0 Experiment - Latches and Flip-Flops Objectives:. To implement an RS latch memory element. To implement a JK

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 05 February 23, 2012 Dohn Bowden 1 Today s Lecture Analysis of Clocked Sequential Circuits Chapter 13 2 Course Admin 3 Administrative Admin

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 1 Introduction Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 Circuits for counting both forward and backward events are frequently used in computers and other digital systems. Digital

More information

Experiment # 12. Traffic Light Controller

Experiment # 12. Traffic Light Controller Experiment # 12 Traffic Light Controller Objectives Practice on the design of clocked sequential circuits. Applications of sequential circuits. Overview In this lab you are going to develop a Finite State

More information

Lecture 8: Sequential Logic

Lecture 8: Sequential Logic Lecture 8: Sequential Logic Last lecture discussed how we can use digital electronics to do combinatorial logic we designed circuits that gave an immediate output when presented with a given set of inputs

More information

Flip-Flops and Sequential Circuit Design

Flip-Flops and Sequential Circuit Design Flip-Flops and Sequential Circuit Design ECE 52 Summer 29 Reading ssignment Brown and Vranesic 7 Flip-Flops, Registers, Counters and a Simple Processor 7.5 T Flip-Flop 7.5. Configurable Flip-Flops 7.6

More information

CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division

CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division Objectives In this lab, you will see two types of sequential circuits: latches and flip-flops. Latches and flip-flops can be used

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

Chapter 5 Sequential Circuits

Chapter 5 Sequential Circuits Logic and Computer Design Fundamentals Chapter 5 Sequential Circuits Part 2 Sequential Circuit Design Charles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

Name: Date: Suggested Reading Chapter 7, Digital Systems, Principals and Applications; Tocci

Name: Date: Suggested Reading Chapter 7, Digital Systems, Principals and Applications; Tocci Richland College Engineering Technology Rev. 0 B. Donham Rev. 1 (7/2003) J. Horne Rev. 2 (1/2008) J. Bradbury Digital Fundamentals CETT 1425 Lab 7 Asynchronous Ripple Counters Name: Date: Objectives: To

More information

Chapter 4: One-Shots, Counters, and Clocks

Chapter 4: One-Shots, Counters, and Clocks Chapter 4: One-Shots, Counters, and Clocks I. The Monostable Multivibrator (One-Shot) The timing pulse is one of the most common elements of laboratory electronics. Pulses can control logical sequences

More information

Unit 12 Design Solutions Solutions to Unit 12 Design and Simulation Problems

Unit 12 Design Solutions Solutions to Unit 12 Design and Simulation Problems Unit 2 Design Solutions Solutions to Unit 2 Design and Simulation Problems Problem 2. is a simulation exercise where students are required to design and simulate a counter. The problem has 4 parts of equal

More information

Laboratory 4 A MIDI Interface

Laboratory 4 A MIDI Interface ARLETON UNIVERSITY eparment of Electronics ELE 2607 Switching ircuits February 17, 11 Laboratory 4 A MII Interface Overview MII is the name for a digital interface used in electronic music. It might be

More information

Rensselaer Polytechnic Institute Computer Hardware Design ECSE Report. Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory

Rensselaer Polytechnic Institute Computer Hardware Design ECSE Report. Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory RPI Rensselaer Polytechnic Institute Computer Hardware Design ECSE 4770 Report Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory Name: Walter Dearing Group: Brad Stephenson David Bang

More information

Chapter 9 Counters. Clock Edge Output Q 2 Q 1 Q

Chapter 9 Counters. Clock Edge Output Q 2 Q 1 Q hapter 9 ounters 9. Introduction ounters are devices which have a LOK input and produce n outputs. ounters consist of flip-flops connected together in specific ways such that on each clock edge the output

More information

Final Project [Tic-Tac-Toe]

Final Project [Tic-Tac-Toe] Final Project [Tic-Tac-Toe] (In 2 dimension) ECE 249 Session: 3-6pm TA: Jill Cannon Joseph S Kim Ghazy Mahub Introduction As a final project for ECE 249, we will develop a multi-player tic-tac-toe game

More information

CS61C : Machine Structures

CS61C : Machine Structures CS 6C L4 State () inst.eecs.berkeley.edu/~cs6c/su5 CS6C : Machine Structures Lecture #4: State and FSMs Outline Waveforms State Clocks FSMs 25-7-3 Andy Carle CS 6C L4 State (2) Review (/3) (2/3): Circuit

More information

Laboratory 4 A MIDI Interface

Laboratory 4 A MIDI Interface ARLETON UNIVERSITY eparment of Electronics ELE 2607 Switching ircuits February 28, 11 Laboratory 4 A MII Interface Overview MII is the name for a digital interface used in electronic music. It might be

More information

EE178 Spring 2018 Lecture Module 5. Eric Crabill

EE178 Spring 2018 Lecture Module 5. Eric Crabill EE178 Spring 2018 Lecture Module 5 Eric Crabill Goals Considerations for synchronizing signals Clocks Resets Considerations for asynchronous inputs Methods for crossing clock domains Clocks The academic

More information

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8 CSCB58 - Lab 4 Clocks and Counters Learning Objectives The purpose of this lab is to learn how to create counters and to be able to control when operations occur when the actual clock rate is much faster.

More information

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Objective The objective of lab assignments 5 through 9 are to systematically design and implement

More information

SEMESTER ONE EXAMINATIONS 2002

SEMESTER ONE EXAMINATIONS 2002 SEMESTER ONE EXAMINATIONS 2002 EE101 Digital Electronics Solutions Question 1. An assembly line has 3 failsafe sensors and 1 emergency shutdown switch. The Line should keep moving unless any of the following

More information

Digital Fundamentals: A Systems Approach

Digital Fundamentals: A Systems Approach Digital Fundamentals: A Systems Approach Latches, Flip-Flops, and Timers Chapter 6 Traffic Signal Control Traffic Signal Control: State Diagram Traffic Signal Control: Block Diagram Traffic Signal Control:

More information

Digital Fundamentals. Lab 5 Latches & Flip-Flops CETT Name: Date:

Digital Fundamentals. Lab 5 Latches & Flip-Flops CETT Name: Date: Richland College School of Engineering & Technology Rev. 0 B. Donham Rev. 1 (7/2003) J. Horne Rev. 2 (1/2008) J. Bradbury Rev. 3 (7/2015) J. Bradbury Digital Fundamentals CETT 1425 Lab 5 Latches & Flip-Flops

More information

Computer Organization & Architecture Lecture #5

Computer Organization & Architecture Lecture #5 Computer Organization & Architecture Lecture #5 Shift Register A shift register is a register in which binary data can be stored and then shifted left or right when a shift signal is applied. Bits shifted

More information

Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design. Laboratory 3: Finite State Machine (FSM)

Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design. Laboratory 3: Finite State Machine (FSM) Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design Laboratory 3: Finite State Machine (FSM) Mapping CO, PO, Domain, KI : CO2,PO3,P5,CTPS5 CO2: Construct logic circuit using

More information

VARIABLE FREQUENCY CLOCKING HARDWARE

VARIABLE FREQUENCY CLOCKING HARDWARE VARIABLE FREQUENCY CLOCKING HARDWARE Variable-Frequency Clocking Hardware Many complex digital systems have components clocked at different frequencies Reason 1: to reduce power dissipation The active

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

Introduction to Microprocessor & Digital Logic

Introduction to Microprocessor & Digital Logic ME262 Introduction to Microprocessor & Digital Logic (Sequential Logic) Summer 2 Sequential Logic Definition The output(s) of a sequential circuit depends d on the current and past states of the inputs,

More information

Computer Systems Architecture

Computer Systems Architecture Computer Systems Architecture Fundamentals Of Digital Logic 1 Our Goal Understand Fundamentals and basics Concepts How computers work at the lowest level Avoid whenever possible Complexity Implementation

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 3, 2006 Problem Set Due: March 15, 2006 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

More design examples, state assignment and reduction. Page 1

More design examples, state assignment and reduction. Page 1 More design examples, state assignment and reduction Page 1 Serial Parity Checker We have only 2 states (S 0, S 1 ): correspond to an even and odd number of 1 s received so far. x Clock D FF Q Z = 1 whenever

More information

Sequential Design Basics

Sequential Design Basics Sequential Design Basics Lecture 2 topics A review of devices that hold state A review of Latches A review of Flip-Flops Unit of text Set-Reset Latch/Flip-Flops/D latch/ Edge triggered D Flip-Flop 8/22/22

More information

COMP sequential logic 1 Jan. 25, 2016

COMP sequential logic 1 Jan. 25, 2016 OMP 273 5 - sequential logic 1 Jan. 25, 2016 Sequential ircuits All of the circuits that I have discussed up to now are combinational digital circuits. For these circuits, each output is a logical combination

More information

Step 1 - shaft decoder to generate clockwise/anticlockwise signals

Step 1 - shaft decoder to generate clockwise/anticlockwise signals Workshop Two Shaft Position Encoder Introduction Some industrial automation applications require control systems which know the rotational position of a shaft. Similar devices are also used for digital

More information

CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division

CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division Objectives In this lab, we will see the sequential circuits latches and flip-flops. Latches and flip-flops can be used to build

More information

Individual Project Report

Individual Project Report EN 3542: Digital Systems Design Individual Project Report Pseudo Random Number Generator using Linear Feedback shift registers Index No: Name: 110445D I.W.A.S.U. Premaratne 1. Problem: Random numbers are

More information

MC9211 Computer Organization

MC9211 Computer Organization MC9211 Computer Organization Unit 2 : Combinational and Sequential Circuits Lesson2 : Sequential Circuits (KSB) (MCA) (2009-12/ODD) (2009-10/1 A&B) Coverage Lesson2 Outlines the formal procedures for the

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic Ranga Rodrigo August 2, 2009 1 Behavioral Modeling Behavioral modeling represents digital circuits at a functional and algorithmic level. It is used mostly to describe sequential

More information

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 2200

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 2200 DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 2200 Tutorial 1. Xilinx Integrated Software Environment (ISE) Tools Objectives: 1. Familiarize yourself with

More information

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York DEPARTMENT: SUBJECT CODE AND TITLE: COURSE DESCRIPTION: REQUIRED: Electrical and Telecommunications Engineering Technology TCET 3122/TC

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

DIGITAL ELECTRONICS: LOGIC AND CLOCKS

DIGITAL ELECTRONICS: LOGIC AND CLOCKS DIGITL ELECTRONICS: LOGIC ND CLOCKS L 6 INTRO: INTRODUCTION TO DISCRETE DIGITL LOGIC, MEMORY, ND CLOCKS GOLS In this experiment, we will learn about the most basic elements of digital electronics, from

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers EEE 304 Experiment No. 07 Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers Important: Submit your Prelab at the beginning of the lab. Prelab 1: Construct a S-R Latch and

More information

Sequential Elements con t Synchronous Digital Systems

Sequential Elements con t Synchronous Digital Systems ecture 15 Computer Science 61C Spring 2017 February 22th, 2017 Sequential Elements con t Synchronous Digital Systems 1 Administrivia I Good news: Waitlist students: You are in! Concurrent Enrollment students:

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

Unit 11. Latches and Flip-Flops

Unit 11. Latches and Flip-Flops Unit 11 Latches and Flip-Flops 1 Combinational Circuits A combinational circuit consists of logic gates whose outputs, at any time, are determined by combining the values of the inputs. For n input variables,

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are the digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs.

More information

CSE 275 Digital Design Lab Lab 8 Serial Adder/Subtractor Penn State Erie, The Behrend College Fall Semester 2007 Number of Lab Periods: 2

CSE 275 Digital Design Lab Lab 8 Serial Adder/Subtractor Penn State Erie, The Behrend College Fall Semester 2007 Number of Lab Periods: 2 1 Discussion CSE 275 Digital Design Lab Lab 8 Serial Adder/Subtractor Penn State Erie, The Behrend College Fall Semester 2007 Number of Lab Periods: 2 The purpose of this lab is to design, simulate, and

More information

Chapter 1: Switching Algebra Chapter 2: Logical Levels, Timing & Delays. Introduction to latches Chapter 9: Binary Arithmetic

Chapter 1: Switching Algebra Chapter 2: Logical Levels, Timing & Delays. Introduction to latches Chapter 9: Binary Arithmetic 12.12.216 Chapter 5 Flip Flops Dr.-ng. Stefan Werner /14 Table of content Chapter 1: Switching Algebra Chapter 2: Logical Levels, Timing & Delays Chapter 3: Karnaugh-Veitch-Maps Chapter 4: Combinational

More information

ECE 270 Lab Verification / Evaluation Form. Experiment 9

ECE 270 Lab Verification / Evaluation Form. Experiment 9 ECE 270 Lab Verification / Evaluation Form Experiment 9 Evaluation: IMPORTANT! You must complete this experiment during your scheduled lab period. All work for this experiment must be demonstrated to and

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 2, 2007 Problem Set Due: March 14, 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

Lab #10: Building Output Ports with the 6811

Lab #10: Building Output Ports with the 6811 1 Tiffany Q. Liu April 11, 2011 CSC 270 Lab #10 Lab #10: Building Output Ports with the 6811 Introduction The purpose of this lab was to build a 1-bit as well as a 2-bit output port with the 6811 training

More information

University of Pennsylvania Department of Electrical and Systems Engineering. Digital Design Laboratory. Lab8 Calculator

University of Pennsylvania Department of Electrical and Systems Engineering. Digital Design Laboratory. Lab8 Calculator University of Pennsylvania Department of Electrical and Systems Engineering Digital Design Laboratory Purpose Lab Calculator The purpose of this lab is: 1. To get familiar with the use of shift registers

More information

Course Plan. Course Articulation Matrix: Mapping of Course Outcomes (COs) with Program Outcomes (POs) PSO-1 PSO-2

Course Plan. Course Articulation Matrix: Mapping of Course Outcomes (COs) with Program Outcomes (POs) PSO-1 PSO-2 Course Plan Semester: 4 - Semester Year: 2019 Course Title: DIGITAL ELECTRONICS Course Code: EC106 Semester End Examination: 70 Continuous Internal Evaluation: 30 Lesson Plan Author: Ms. CH SRIDEVI Last

More information

EE292: Fundamentals of ECE

EE292: Fundamentals of ECE EE292: Fundamentals of ECE Fall 2012 TTh 10:00-11:15 SEB 1242 Lecture 23 121120 http://www.ee.unlv.edu/~b1morris/ee292/ 2 Outline Review Combinatorial Logic Sequential Logic 3 Combinatorial Logic Circuits

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz CSE140L: Components and Design Techniques for Digital Systems Lab CPU design and PLDs Tajana Simunic Rosing Source: Vahid, Katz 1 Lab #3 due Lab #4 CPU design Today: CPU design - lab overview PLDs Updates

More information

CS 110 Computer Architecture. Finite State Machines, Functional Units. Instructor: Sören Schwertfeger.

CS 110 Computer Architecture. Finite State Machines, Functional Units. Instructor: Sören Schwertfeger. CS 110 Computer Architecture Finite State Machines, Functional Units Instructor: Sören Schwertfeger http://shtech.org/courses/ca/ School of Information Science and Technology SIST ShanghaiTech University

More information

1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. [4] Figure 1.

1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. [4] Figure 1. [Question 1 is compulsory] 1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. Figure 1.1 b) Minimize the following Boolean functions:

More information

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 149 CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 6.1 INTRODUCTION Counters act as important building blocks of fast arithmetic circuits used for frequency division, shifting operation, digital

More information

ASYNCHRONOUS COUNTER CIRCUITS

ASYNCHRONOUS COUNTER CIRCUITS ASYNCHRONOUS COUNTER CIRCUITS Asynchronous counters do not have a common clock that controls all the Hipflop stages. The control clock is input into the first stage, or the LSB stage of the counter. The

More information

CS3350B Computer Architecture Winter 2015

CS3350B Computer Architecture Winter 2015 CS3350B Computer Architecture Winter 2015 Lecture 5.2: State Circuits: Circuits that Remember Marc Moreno Maza www.csd.uwo.ca/courses/cs3350b [Adapted from lectures on Computer Organization and Design,

More information

Digital Logic. ECE 206, Fall 2001: Lab 1. Learning Objectives. The Logic Simulator

Digital Logic. ECE 206, Fall 2001: Lab 1. Learning Objectives. The Logic Simulator Learning Objectives ECE 206, : Lab 1 Digital Logic This lab will give you practice in building and analyzing digital logic circuits. You will use a logic simulator to implement circuits and see how they

More information

Sequential Digital Design. Laboratory Manual. Experiment #3. Flip Flop Storage Elements

Sequential Digital Design. Laboratory Manual. Experiment #3. Flip Flop Storage Elements The Islamic University of Gaza Engineering Faculty Department of Computer Engineering Spring 2018 ECOM 2022 Khaleel I. Shaheen Sequential Digital Design Laboratory Manual Experiment #3 Flip Flop Storage

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information