Chapter 10 Exercise Solutions

Size: px
Start display at page:

Download "Chapter 10 Exercise Solutions"

Transcription

1 VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 1/10 Chapter 10 Exercise Solutions 10.1 The following is just an example for testing chips and interconnects on a board. One may adjust the procedure based on the actual test requirement. Test chips on board 1 The INTEST instruction is shifted into the I of the chip(s) to be tested through TDI. At the same time, the YPASS instruction is shifted into the I s of those chips that will not be tested at this test session. Note that several ICs might be tested concurrently, depending on the results of test scheduling. 2 The contents of the instruction registers are updated and decoded by the decoders associated with the I s of the four chips so as to generate the required control signals to properly configure the test logic. 3 A test pattern (for the case only one chip is to be tested) or a series of test patterns (for the case several chips are to be tested) are shifted into the input data registers through TDI and then applied to the chip(s) to be tested. 4 The test response is captured into output data registers of the chip(s) under test. 5 The captured response is shifted out through TDO for observation and, at the same time, a new test pattern can be scanned in through TDI. 6 Steps 3-5 are repeated until all test patterns are shifted in and applied, and all test responses are captured and shifted out. Note that appropriate values have to be applied to the TMS terminals of the chips (see the state diagram of the TAP controller defined in the IEEE standard) to control the test operations. After the testing procedure (Steps 1-6 shown above) for the chips currently being tested is finished, the same procedure will be repeated for the remaining chips that are not tested until all chips are tested. Test interconnects between chips on board 1 The EXTEST instruction is shifted through TDI into the I of the chip(s) between which interconnects are to be tested. For the chips whose interconnects will not be tested, the YPASS instruction is shifted into their I simultaneously. 2 The contents of the instruction registers are updated and decoded by the decoders associated with the I s of the four chips so as to generate the required control signals to properly configure the test logic. 3 A test pattern (for the case interconnects between only two chips are to be tested) or a series of test patterns (for the case interconnects between several chips are to be tested) are shifted into the (output) data register associated with the interconnects of one chip through TDI and then are applied to and captured at the (input) data register of the corresponding chip(s) associated with the interconnects. In order to effectively test

2 VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 2/10 interconnects, specific test patterns such as walking one or walking zero sequences can be used. The details of these sequences can be found in [1]. 4 The captured response is shifted out through TDO for observation and, at the same time, a new test pattern can be scanned in through TDI. 5 Steps 3-5 are repeated until all test patterns are shifted in and applied, and all test responses are captured and shifted out. Again, appropriate values have to be applied to the TMS terminals such that the test procedure can be executed correctly. Similar test procedures can be applied to the chips to test other interconnects The following calculation is based on the TAP controller state diagram shown in Figure The number of required test cycles to shift a 4-bit test instruction into the Instruction egister will be 1 (to run-test/idle) + 1 (to Select-D-Scan) + 1 (to Select-I-Scan) + 1 (to Capture-I) + 1 (to Shift-I)*4 + 1 (to Exit1-I) + 1 (to Exit2-I) + 1 (to update-i) + 1 (to Select-D-Scan) = Assume the initial state of TAP is Select-D-Scan and the number of input wrapper cells equals that of output wrapper cells. The number of required test cycles to apply test patterns and propagate test responses is calculated as follows. The number of required test cycles to apply a test pattern is 1 (to Capture-D) + 1 (to Shift-D)* (to Exit1-D) + 1 (to Update-D) + 1 (to Select-D-Scan) = 19 The number of required test cycles to observe test responses is 1 (to Capture-D) + 1 (to Shift-D)* (to Exit1-D) +

3 VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 3/10 1 (to Update-D) + 1 (to Select-D-Scan) = 19 Note that after the first test pattern is applied, applying test patterns and propagating test responses can be executed simultaneously. Therefore, the number of required test cycles to apply test patterns and propagate test responses will be 19 (applying the first test pattern) + 19*99 (applying test patterns and propagating test responses) + 19 (propagating the last test responses) + 1 (to Select-I-Scan) + 1 (to Test-Logic-eset) = Yes. As described in this chapter, the SAMPLE operation can be completed by simply executing the Capture operation (on the rising edge of TCK in the Capture-D state). hile the PELOAD instruction allows test data to be shifted into/out of the selected data register during the Shift-D state without causing interference to the normal operation of the internal logic as shown in Figure The shifted data is then latched to the parallel output (2) of the selected data registers (on the falling edge of TCK in the Update-D controller state). Since the states used to perform the corresponding operations for these two instructions are not overlapped (i.e., Capture-D state for SAMPLE and Shift-D as well as Update-D states for PELOAD), these two instructions can be executed in one iteration of the seven states shown in the middle of Figure The errors in Figure are the lack of the TMS control and a latch with negative level-sensitive nature as shown in following figure. ithout fixing these two errors, the Init_Memory signal would not be activated before the occurrence of a transition in order to initialize the Hyst Mem in time, which is intended to guarantee that a valid transition can be captured for every test vector as described in the section pertaining IEEE Std.

4 VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 4/ ased on the structure of digital driver logic defined in IEEE Std., one can derive the AC Test Signal in the timing diagram during EXTEST_PULSE instruction as shown in Figure Also the timing diagram during EXTEST_TAIN instruction can be obtained in the same manner, as shown in the figure below [IEEE ]. Clearly one can see that sequential transitions occur on the AC Test Signal line due to the EXTEST_TAIN instruction when the TAP State is in un-test/idle.

5 VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 5/ The gate-level netlist can be obtained by synthesizing the TL codes (e.g., Verilog) that describe the functionalities of the wrapper cells using a commercial synthesis tool. elow are two schematics of the netllist for the two C s. Gate-level netlist for 10.26(a) Gate-level netlist for 10.26(e) A comparison of functionalities, gate counts and control signals of these two cells is given in the table below.

6 VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 6/10 functionalities gate counts control signals 10.26(a) Shift and Capture 15 Shift, Capture and mode 10.26(e) Shift, Transfer, Update and Shift, TransferD, 36 Capture Update and Capture Figure (a): cell connectivity around a core

7 VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 7/10 Figure (b): Delay test sequence timing diagram Figure (a) depicts a simplified example of an arrangement of an O gate as a core and three C_SD2_CIO cells (the structure is shown in Figure 10.28) as. The transfer, shift, and capture signals are derived from TransferD, Shift, and Capture. Consider the scan order through 2 bits in each of the cells for terminals IN0, IN1, and OUT. The cell provided for terminal OUT is configured to receive the opposite polarity value of the IO_FACE signal from that applied to the other two cells. Also depicted are the logic values of a delay test of three vectors applied to the O gate inside the simplified core. Figure (b) depicts a timing diagram of the delay test sequence. For ease of explanation, the rising edges of CK are numbered sequentially. The sequence begins with 6 data bits being shifted into the. Following the Shift operation, data in dff_1 and dff_2 (see the structure in Figure 10.28) of the wc_in0 wrapper cell are both 0; dff_1 and dff_2 of the wc_in1 cell are loaded with 1 and 0, respectively; data in dff_1 and dff_2 of the wc_out wrapper cell are both 0. ith this data pattern, wc_in1 has an initial value of 0 applied, and there is a 1 value ready in that cell s dff_1 storage element. Clock cycle 7 is a Transfer event where wc_in0 and wc_in1 internally exchange data between their respective dff_1 and dff_2 bits. This causes a rising-edge delay test stimulus being applied to the IN1 input of the O gate. Clock cycle 8 performs both the Transfer and Capture events. If the time interval between clock events 7 and 8 is according to the delay time specification of the path from IN1 to OUT, then a first delay test response is captured in dff_2 of wc_out. Simultaneously, a falling-edge delay test stimulus is applied to the IN1 input of the O gate. After a settling time, the Test Output TO reflects the result of the capture. Clock cycle 9 again performs both the Transfer and Capture events. If the time interval

8 VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 8/10 between clocks 8 and 9 is according to the delay specification of the O gate a second delay test response is captured in dff_2 of wc_out. Simultaneously, the data value that was captured during clock 8 is transferred to dff_1 of wc_out. After a settling time, the TO reflects the results of the second capture. Clocks 10 through 14 are used to shift out the last 5 bits of the where the results of the first capture come out on clock 10. Since the architecture of the standardized boundary scan cell does not support the functionality of interchanging contents in the embedded flip-flops (update and capture flip-flops) and latency exist between some test operations (e.g., 2 and half cycles between update and capture), the test procedure for delay faults discussed here cannot be directly applied to a circuit in compliance with IEEE Std Assume the numbers of input wrapper cells and output wrapper cells are equal. Then the number of required test cycles can be calculated as follows. 4 (loading instruction) + (15+1) (shift in the first test pattern to the wrapper chain and apply to the circuit) + (15+1)*99 (shift in the remaining test patterns to the wrapper chain and apply to the circuit as well as capture the test response and shift out the test responses) + 15 (shift out the test response associated with the last test pattern) = 1619 (cycles) Assumption: 1) The numbers of input wrapper cells and output wrapper cells are equal. 2) 5 among the 10 parallel TAM wires are for TAM in and the others are for TAM out. 3) The test data (including test patterns and responses) as well as test instruction are transferred via parallel TAM. Calculation: Since we assume the test instruction is transferred via parallel TAM (see Assumption 3), only 1 cycle is required for loading the 4-bit instruction. On the other hand, the number of required cycles to execute scan operation can be calculated as follows. y taking Assumptions 1) and 2) into consideration, 3 cycles are required to shift in the 15-bit sized input/output wrapper chain. Thus totally (3+1) (shift in the first test pattern to the wrapper chain and apply to the circuit) + (3+1)*99 (shift in the remaining test patterns to the wrapper chain and apply to the circuit as well as capture and shift out the test responses) + 3 (shift out the test response associated with the last test pattern)

9 VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 9/10 = 403 cycles are required for scan operations. As a result, a total of 404 cycles are needed. Test configuration FI FO TAM in 5 bits 1 to 4 DeMux FI FI Core Test Enable FO FO 4 to 1 Mux TAM out 5 bits Y I SC Note: some details not shown in this figure Note: we also have to add some instructions to decode the proper control signals for the mux, demux and s selection Parallel Mode IEEE 1500 Std. can provide higher transfer capability than IEEE Std. via parallel mode, which is one of the remarkable advantages of IEEE 1500 Std. over IEEE Std. 2. Extra Data/Control I/Os IEEE 1500 Std. assumes that control signals are generated by a mechanism defined by the user, while for IEEE Std. these control signals are generated by the TAP controller. Therefore, IEEE 1500 Std. has more optional I/Os and higher flexibility. 3. FSM (TAP Controller) Control signals of IEEE Std. are generated by a FSM, and thus the latency between some operations is inevitable, making some delay test unable to be applied. However, for IEEE 1500 Std. the generation mechanism is dominated by the user. Therefore the latency between operations can be eliminated. 4. Transfer mode IEEE 1500 Std. can preserve as many capture values as there are storage elements in the

10 VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 10/10 shift path. It also can provide sequential stimuli data by the transfer mode, enabling delay test. 5. Latency between shift, capture, and update operations for IEEE Std. results in long test time and limits the capability of the support of delay test. 6. Mandatory Instructions: EXTEST and YPASS in are similar to S_EXTEST and S_YPASS. However, a P_INTEST instruction would be highly beneficial in reducing test time if a large number of test access lines are available for the PP. eference [1] A. Hassan, J. ajski, and V. K. Agarwal, Testing and Diagnosis of Interconnects Using oundary Scan Architecture, Proc. Int l Test Conf. pp , 1988.

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d) Testing Sequential Logic CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Electrical and Computer Engineering University of Alabama in Huntsville In general, much more difficult than testing combinational

More information

Using the XC9500/XL/XV JTAG Boundary Scan Interface

Using the XC9500/XL/XV JTAG Boundary Scan Interface Application Note: XC95/XL/XV Family XAPP69 (v3.) December, 22 R Using the XC95/XL/XV JTAG Boundary Scan Interface Summary This application note explains the XC95 /XL/XV Boundary Scan interface and demonstrates

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

BUSES IN COMPUTER ARCHITECTURE

BUSES IN COMPUTER ARCHITECTURE BUSES IN COMPUTER ARCHITECTURE The processor, main memory, and I/O devices can be interconnected by means of a common bus whose primary function is to provide a communication path for the transfer of data.

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

Design of Testable Reversible Toggle Flip Flop

Design of Testable Reversible Toggle Flip Flop Design of Testable Reversible Toggle Flip Flop Mahalakshmi A M.E. VLSI Design, Department of Electronics and Communication PSG college of technology Coimbatore, India Abstract In this paper, the design

More information

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20 Advanced Devices Using a combination of gates and flip-flops, we can construct more sophisticated logical devices. These devices, while more complex, are still considered fundamental to basic logic design.

More information

Product Update. JTAG Issues and the Use of RT54SX Devices

Product Update. JTAG Issues and the Use of RT54SX Devices Product Update Revision Date: September 2, 999 JTAG Issues and the Use of RT54SX Devices BACKGROUND The attached paper authored by Richard B. Katz of NASA GSFC and J. J. Wang of Actel describes anomalies

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops Timing methodologies cascading flip-flops for proper operation clock skew Basic registers shift registers

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison Fall 2014-2015 Final Examination CLOSED BOOK Kewal K. Saluja Date: December 14, 2014 Place: Room 3418 Engineering Hall

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic. 1. CLOCK MUXING: With more and more multi-frequency clocks being used in today's chips, especially in the communications field, it is often necessary to switch the source of a clock line while the chip

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

Section 24. Programming and Diagnostics

Section 24. Programming and Diagnostics Section. and Diagnostics HIGHLIGHTS This section of the manual contains the following topics:.1 Introduction... -2.2 In-Circuit Serial... -2.3 Enhanced In-Circuit Serial... -5.4 JTAG Boundary Scan... -6.5

More information

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). 1 The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). The value that is stored in a flip-flop when the clock pulse occurs

More information

Computer Architecture and Organization

Computer Architecture and Organization A-1 Appendix A - Digital Logic Computer Architecture and Organization Miles Murdocca and Vincent Heuring Appendix A Digital Logic A-2 Appendix A - Digital Logic Chapter Contents A.1 Introduction A.2 Combinational

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Boundary Scan (JTAG ) 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Boundary Scan (JTAG ) 2 CMOS INTEGRATE CIRCUIT EGN TECHNIUES University of Ioannina Boundary Scan Testing (JTAG ΙΕΕΕ 49 std) ept of Computer Science and Engineering Y Tsiatouhas CMOS Integrated Circuit esign Techniques VL Systems

More information

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Chapter Contents. Appendix A: Digital Logic. Some Definitions A- Appendix A - Digital Logic A-2 Appendix A - Digital Logic Chapter Contents Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A. Introduction A.2 Combinational

More information

CSE 352 Laboratory Assignment 3

CSE 352 Laboratory Assignment 3 CSE 352 Laboratory Assignment 3 Introduction to Registers The objective of this lab is to introduce you to edge-trigged D-type flip-flops as well as linear feedback shift registers. Chapter 3 of the Harris&Harris

More information

Topic D-type Flip-flops. Draw a timing diagram to illustrate the significance of edge

Topic D-type Flip-flops. Draw a timing diagram to illustrate the significance of edge Topic 1.3.2 -type Flip-flops. Learning Objectives: At the end of this topic you will be able to; raw a timing diagram to illustrate the significance of edge triggering; raw a timing diagram to illustrate

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 03 February 09, 2012 Dohn Bowden 1 Today s Lecture Registers and Counters Chapter 12 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

Using IEEE Boundary Scan (JTAG) With Cypress Ultra37000 CPLDs

Using IEEE Boundary Scan (JTAG) With Cypress Ultra37000 CPLDs Using IEEE 49. Boundary Scan (JTAG) With Cypress Ultra37 CPLDs Introduction As Printed Circuit Boards (PCBs) have become multi-layered with double-sided component mounting and Integrated Circuits have

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

LATCHES & FLIP-FLOP. Chapter 7

LATCHES & FLIP-FLOP. Chapter 7 LATCHES & FLIP-FLOP Chapter 7 INTRODUCTION Latch and flip flops are categorized as bistable devices which have two stable states,called SET and RESET. They can retain either of this states indefinitely

More information

Section 24. Programming and Diagnostics

Section 24. Programming and Diagnostics Section. Programming and Diagnostics HIGHLIGHTS This section of the manual contains the following topics:.1 Introduction... -2.2 In-Circuit Serial Programming... -3.3 Enhanced In-Circuit Serial Programming...

More information

JRC ( JTAG Route Controller ) Data Sheet

JRC ( JTAG Route Controller ) Data Sheet JRC ( JTAG Route Controller ) Data Sheet ATLAS TGC Electronics Group September 5, 2002 (version 1.1) Author : Takashi Takemoto Feature * JTAG signal router with two inputs and seven outputs. * Routing

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

NEW MEXICO STATE UNIVERSITY Electrical and Computer Engineering Department. EE162 Digital Circuit Design Fall Lab 5: Latches & Flip-Flops

NEW MEXICO STATE UNIVERSITY Electrical and Computer Engineering Department. EE162 Digital Circuit Design Fall Lab 5: Latches & Flip-Flops NEW MEXICO STATE UNIVERSITY Electrical and Computer Engineering Department EE162 Digital Circuit Design Fall 2012 OBJECTIVES: Lab 5: Latches & Flip-Flops The objective of this lab is to examine and understand

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1 Module 8 Testing of Embedded System Version 2 EE IIT, Kharagpur 1 Lesson 39 Design for Testability Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would

More information

Dr. Shahram Shirani COE2DI4 Midterm Test #2 Nov 19, 2008

Dr. Shahram Shirani COE2DI4 Midterm Test #2 Nov 19, 2008 Page 1 Dr. Shahram Shirani COE2DI4 Midterm Test #2 Nov 19, 2008 Instructions: This examination paper includes 13 pages and 20 multiple-choice questions starting on page 3. You are responsible for ensuring

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies Ilmenau, 9 Dec 206 Testing and programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

Principles of Computer Architecture. Appendix A: Digital Logic

Principles of Computer Architecture. Appendix A: Digital Logic A-1 Appendix A - Digital Logic Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix A - Digital Logic Chapter Contents A.1 Introduction A.2 Combinational

More information

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops Timing methodologies cascading flip-flops for proper operation clock skew Basic registers shift registers

More information

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

CSE115: Digital Design Lecture 23: Latches & Flip-Flops Faculty of Engineering CSE115: Digital Design Lecture 23: Latches & Flip-Flops Sections 7.1-7.2 Suggested Reading A Generic Digital Processor Building Blocks for Digital Architectures INPUT - OUTPUT Interconnect:

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers EEE 304 Experiment No. 07 Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers Important: Submit your Prelab at the beginning of the lab. Prelab 1: Construct a S-R Latch and

More information

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder Dept. of Electrical and Computer Engineering University of California, Davis Issued: November 2, 2011 Due: November 16, 2011, 4PM Reading: Rabaey Sections

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

IIIHIII III. Signal in. BIST ShiftDR United States Patent (19) Tsai et al. Out Mode Signal out. mclockdr. SCOn

IIIHIII III. Signal in. BIST ShiftDR United States Patent (19) Tsai et al. Out Mode Signal out. mclockdr. SCOn United States Patent (19) Tsai et al. 54 IEEE STD. 1149.1 BOUNDARY SCAN CIRCUIT CAPABLE OF BUILT-IN SELF-TESTING 75) Inventors: Ching-Hong Tsai, Fang-Diahn Guo; Jin-Hua Hong; Cheng-Wen Wu, all of Hsinchu,

More information

7 Nov 2017 Testing and programming PCBA s

7 Nov 2017 Testing and programming PCBA s 7 Nov 207 Testing and programming PCBA s Rob Staals JTAG Technologies Email: robstaals@jtag.com JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 1.0 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...3 4. User Guide...4 4.1.

More information

Sequential Circuits. Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs)

Sequential Circuits. Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs) Sequential Circuits Combinational circuits Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs) Sequential circuits Combination circuits with memory

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

Computer Organization & Architecture Lecture #5

Computer Organization & Architecture Lecture #5 Computer Organization & Architecture Lecture #5 Shift Register A shift register is a register in which binary data can be stored and then shifted left or right when a shift signal is applied. Bits shifted

More information

Sequential Circuits. Sequential Logic. Circuits with Feedback. Simplest Circuits with Feedback. Memory with Cross-coupled Gates.

Sequential Circuits. Sequential Logic. Circuits with Feedback. Simplest Circuits with Feedback. Memory with Cross-coupled Gates. equential Logic equential Circuits equential Circuits imple circuits with feedback Latches Edge-triggered flip-flops Timing Methodologies Cascading flip-flops for proper operation Clock skew Basic egisters

More information

FPGA Design with VHDL

FPGA Design with VHDL FPGA Design with VHDL Justus-Liebig-Universität Gießen, II. Physikalisches Institut Ming Liu Dr. Sören Lange Prof. Dr. Wolfgang Kühn ming.liu@physik.uni-giessen.de Lecture Digital design basics Basic logic

More information

Chapter 5: Synchronous Sequential Logic

Chapter 5: Synchronous Sequential Logic Chapter 5: Synchronous Sequential Logic NCNU_2016_DD_5_1 Digital systems may contain memory for storing information. Combinational circuits contains no memory elements the outputs depends only on the inputs

More information

the Boundary Scan perspective

the Boundary Scan perspective the Boundary Scan perspective Rik Doorneweert, JTAG Technologies rik@jtag.com www.jtag.com Subjects Economics of testing Test methods and strategy Boundary scan at: Component level Board level System level

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic Ranga Rodrigo August 2, 2009 1 Behavioral Modeling Behavioral modeling represents digital circuits at a functional and algorithmic level. It is used mostly to describe sequential

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

D Latch (Transparent Latch)

D Latch (Transparent Latch) D Latch (Transparent Latch) -One way to eliminate the undesirable condition of the indeterminate state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done

More information

Testing Sequential Circuits

Testing Sequential Circuits Testing Sequential Circuits 9/25/ Testing Sequential Circuits Test for Functionality Timing (components too slow, too fast, not synchronized) Parts: Combinational logic: faults: stuck /, delay Flip-flops:

More information

Digital Integrated Circuits Lecture 19: Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability Digital Integrated Circuits Lecture 19: Design for Testability Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec19 cwliu@twins.ee.nctu.edu.tw 1 Outline

More information

Page 1 of 6 Follow these guidelines to design testable ASICs, boards, and systems. (includes related article on automatic testpattern generation basics) (Tutorial) From: EDN Date: August 19, 1993 Author:

More information

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active. Flip-Flops Objectives The objectives of this lesson are to study: 1. Latches versus Flip-Flops 2. Master-Slave Flip-Flops 3. Timing Analysis of Master-Slave Flip-Flops 4. Different Types of Master-Slave

More information

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH CPE 200L LABORATORY 3: SEUENTIAL LOGIC CIRCUITS DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Learn to use Function Generator and Oscilloscope on the breadboard.

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References EE241 - Spring 2001 Advanced Digital Integrated Circuits Lecture 28 References Rabaey, Digital Integrated Circuits and EE241 (1998) notes Chapter 25, ing of High-Performance Processors by D.K. Bhavsar

More information

XJTAG DFT Assistant for

XJTAG DFT Assistant for XJTAG DFT Assistant for Installation and User Guide Version 2 enquiries@xjtag.com Table of Contents SECTION PAGE 1. Introduction...3 2. Installation...3 3. Quick Start Guide...3 4. User Guide...4 4.1.

More information

Part 4: Introduction to Sequential Logic. Basic Sequential structure. Positive-edge-triggered D flip-flop. Flip-flops classified by inputs

Part 4: Introduction to Sequential Logic. Basic Sequential structure. Positive-edge-triggered D flip-flop. Flip-flops classified by inputs Part 4: Introduction to Sequential Logic Basic Sequential structure There are two kinds of components in a sequential circuit: () combinational blocks (2) storage elements Combinational blocks provide

More information

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm)

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm) Switching Circuits & Logic Design, Fall 2011 Final Examination (1/13/2012, 3:30pm~5:20pm) Problem 1: (15 points) Consider a new FF with three inputs, S, R, and T. No more than one of these inputs can be

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

First Name Last Name November 10, 2009 CS-343 Exam 2

First Name Last Name November 10, 2009 CS-343 Exam 2 CS-343 Exam 2 Instructions: For multiple choice questions, circle the letter of the one best choice unless the question explicitly states that it might have multiple correct answers. There is no penalty

More information

A Combined Combinational-Sequential System

A Combined Combinational-Sequential System A Combined Combinational-Sequential System Object To construct a serial transmission circuit with a comparator to check the output. Parts () 7485 4-bit magnitude comparators (1) 74177 4-bit binary counter

More information

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Chapter 6. Flip-Flops and Simple Flip-Flop Applications Chapter 6 Flip-Flops and Simple Flip-Flop Applications Basic bistable element It is a circuit having two stable conditions (states). It can be used to store binary symbols. J. C. Huang, 2004 Digital Logic

More information

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops Objective Construct a two-bit binary decoder. Study multiplexers (MUX) and demultiplexers (DEMUX). Construct an RS flip-flop from discrete gates.

More information

To design a sequential logic circuit using D-Flip-flop. To implement the designed circuit.

To design a sequential logic circuit using D-Flip-flop. To implement the designed circuit. 6.1 Objectives To design a sequential logic circuit using D-Flip-flop. To implement the designed circuit. 6.2 Sequential Logic So far we have implemented digital circuits whose outputs depend only on its

More information

! Two inverters form a static memory cell " Will hold value as long as it has power applied

! Two inverters form a static memory cell  Will hold value as long as it has power applied equential Logic! equential Circuits " imple circuits with feedback " Latches " Edge-triggered flip-flops! Timing Methodologies " Cascading flip-flops for proper operation " Clock skew! Basic egisters "

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS In the same way that logic gates are the building blocks of combinatorial circuits, latches

More information

EE 367 Lab Part 1: Sequential Logic

EE 367 Lab Part 1: Sequential Logic EE367: Introduction to Microprocessors Section 1.0 EE 367 Lab Part 1: Sequential Logic Contents 1 Preface 1 1.1 Things you need to do before arriving in the Laboratory............... 2 1.2 Summary of material

More information

EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited

EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited April 2, 2013 John Wawrzynek Spring 2013 EECS150 - Lec19-fsm Page 1 Finite State Machines (FSMs) FSM circuits are a type of sequential

More information

Digital Design, Kyung Hee Univ. Chapter 5. Synchronous Sequential Logic

Digital Design, Kyung Hee Univ. Chapter 5. Synchronous Sequential Logic Chapter 5. Synchronous Sequential Logic 1 5.1 Introduction Electronic products: ability to send, receive, store, retrieve, and process information in binary format Dependence on past values of inputs Sequential

More information

Software Engineering 2DA4. Slides 9: Asynchronous Sequential Circuits

Software Engineering 2DA4. Slides 9: Asynchronous Sequential Circuits Software Engineering 2DA4 Slides 9: Asynchronous Sequential Circuits Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of

More information

ECE 263 Digital Systems, Fall 2015

ECE 263 Digital Systems, Fall 2015 ECE 263 Digital Systems, Fall 2015 REVIEW: FINALS MEMORY ROM, PROM, EPROM, EEPROM, FLASH RAM, DRAM, SRAM Design of a memory cell 1. Draw circuits and write 2 differences and 2 similarities between DRAM

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

Transactions Brief. Circular BIST With State Skipping

Transactions Brief. Circular BIST With State Skipping 668 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Transactions Brief Circular BIST With State Skipping Nur A. Touba Abstract Circular built-in self-test

More information

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic.

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic. Chapter 6. sequential logic design This is the beginning of the second part of this course, sequential logic. equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information