Lab #13: FPGA Circuit Realization

Size: px
Start display at page:

Download "Lab #13: FPGA Circuit Realization"

Transcription

1 Lab #13: FPGA Circuit Realization ECE/COE 0501 Date of Experiment: 4/12/2017 Report Written: 4/17/2017 Submission Date: 4/19/2017 Nicholas Haver 1 H a v e r

2 PURPOSE In this lab, the arithmetic logic unit constructed in labs 11 and 12 was programmed onto a field programmable gate array, or FPGA. Based on the schematic designed in lab 12, a description of the circuit, in the form of an input/output instruction set, was downloaded onto the FPGA and could be manipulated and understood using the FPGA s built-in switches, buttons, LEDs, and 7-segment displays. Throughout the lab, various discrete circuit components were replaced with a written hardware description language, VHDL. After each component was replaced with its VHDL equivalent, the circuit was re-compiled, downloaded to the FPGA, and tested. METHOD 1) First, the 4-bit latch created with D flip-flops in Lab 12 was replaced with a set of 4 individual latch components, shown in Figure 1. The latches were compiled into a single 4-bit latch component in the main schematic, as shown in Figure 2. 2) The BCD to 7-segment display decoders were removed from the circuit, and replaced with display decoder components with VHDL, shown in Figure 3. The display outputs, along with the remaining inputs and outputs, were assigned the appropriate pins on the FPGA for switches or buttons for inputs, and displays or LEDs for outputs, seen in Table 1. 3) To improve ease of readability, the 7-segment display decoder VHDL was modified to display hexadecimal values A F for decimal values 10 15, as shown in Figure 4. Table 2 shows the decimal values and their corresponding hexadecimal values. 4) The 4x2:1 MUX, 4-bit latch, and address counters were replaced with their VHDL equivalents. To simplify the 4-bit aspect of the MUX, its VHDL was written as structural type, first as a 1-bit data selector, and then iterated to create the 4-bit data selector. The VHDL code is shown in Figures 5 and 6. The MUX VHDL was added to the schematic, and the FPGA was updated and tested using the verification operations from Lab 12. 5) The 4-bit latch VHDL was written as behavioral type, first as a 1-bit latch, and then iterated to create the 4-bit latch, shown in Figures 7 and 8. The latch VHDL was added to the schematic, and the FPGA was updated and tested using the verification operations from Lab 12. 6) The counter VHDL, shown in Figure 9, was written as dataflow type. The counter VHDL was added to the schematic, and the FPGA was updated and tested using the verification operations from Lab 12. 7) VHDL circuit components for the MUX, 4-bit latch and counters were created from the VHDL. The complete schematic with VHDL equivalent circuits is shown in Figure 10. 8) The final schematic with VHDL equivalents was tested on the FPGA using each of the operation from Lab 12 to verify that everything was working properly. 2 H a v e r

3 RESULTS Figure 1: 4-Bit Latch Utilizing Individual Latch Components Figure 2: 4-Bit Latch Component in Main Schematic Figure 3: VHDL Code for 7-Segment Decoder with Decimal Characters 3 H a v e r

4 The initial 7-segment display scheme illuminated the decimal for values greater than 9. For a value of 12, the 2 and decimal point are illuminated. This display scheme was later modified to produce hexadecimal characters A F corresponding to values To Value Type To Value Type ALU_Disp[1] PIN_V20 7-Segment C_n PIN_B13 Toggle Switch ALU_Disp[2] PIN_V21 7-Segment IN_SEL PIN_A13 Toggle Switch ALU_Disp[3] PIN_W21 7-Segment L_ENABLE PIN_N1 Toggle Switch ALU_Disp[4] PIN_Y22 7-Segment M PIN_P1 Toggle Switch ALU_Disp[5] PIN_AA24 7-Segment UP_R PIN_N23 Push Button ALU_Disp[6] PIN_AA23 7-Segment UP_W PIN_G26 Push Button ALU_Disp[7] PIN_AB24 7-Segment W_en_bar PIN_P23 Push Button Out_Disp[1] PIN_AF10 7-Segment D_input[0] PIN_N25 Toggle Switch Out_Disp[2] PIN_AB12 7-Segment D_input[1] PIN_N26 Toggle Switch Out_Disp[3] PIN_AC12 7-Segment D_input[2] PIN_P25 Toggle Switch Out_Disp[4] PIN_AD11 7-Segment D_input[3] PIN_AE14 Toggle Switch Out_Disp[5] PIN_AE11 7-Segment F_SEL[0] PIN_AF14 Toggle Switch Out_Disp[6] PIN_V14 7-Segment F_SEL[1] PIN_AD13 Toggle Switch Out_Disp[7] PIN_V13 7-Segment F_SEL[2] PIN_AC13 Toggle Switch B[0] PIN_AD23 LED - Red F_SEL[3] PIN_C13 Toggle Switch B[1] PIN_AD21 LED - Red Q_output[0] PIN_AE22 LED - Green B[2] PIN_AC21 LED - Red Q_output[1] PIN_AF22 LED - Green B[3] PIN_AA14 LED - Red Q_output[2] PIN_W19 LED - Green COUNT_R[0] PIN_AE23 LED - Red Q_output[3] PIN_V18 LED - Green COUNT_R[1] PIN_AF23 LED - Red Latch_Output[0] PIN_AA13 LED - Red COUNT_W[0] PIN_AB21 LED - Red Latch_Output[1] PIN_AC14 LED - Red COUNT_W[1] PIN_AC22 LED - Red Latch_Output[2] PIN_AD15 LED - Red Latch_Output[3] PIN_AE15 LED - Red Table 1: FPGA Input and Output Pin Assignments 4 H a v e r

5 Figure 4: VHDL Code for 7-Segment Decoder with Hexadecimal Characters The table below shows the hexadecimal characters that were generated on the 7-segment display, instead of the previous display scheme using decimal values and a decimal point. Decimal Hexadecimal 10 A 11 b 12 C 13 d 14 E 15 F Table 2: Decimal Values and Corresponding Hexadecimal Display Characters 5 H a v e r

6 Figure 5: VHDL Code for 1-Bit Data Selector Figure 6: VHDL Code for 4x2:1 Data Selector 6 H a v e r Figure 7: VHDL Code for 1-Bit Latch

7 Figure 8: VHDL Code for 4-Bit Latch Figure 9: VHDL Code for 2-Bit Up Address Counter 7 H a v e r

8 Figure 10: ALU Schematic with VHDL Equivalent Circuit Components CONCLUSION The purpose of this lab was to take the arithmetic logic unit constructed in Labs 11 and 12, and program it onto a single chip, called a Field Programmable Gate Array (FPGA). While doing so, several of the circuit components were replaced with a hardware description language, VHDL. Once the VHDL was written, equivalent circuit components were generated and replaced the existing components in the schematic. The 4x2:1 data selector, 4- bit latch, and address counters were replaced with VHDL equivalents. After each replacement, the FPGA was updated, and everything was tested using the same procedure as Lab 12. This lab demonstrated the convenience of using FPGAs over discrete circuit components thanks to their easy reconfigurability, smaller size, and ability to hold components such as buttons, switches, LEDs, and displays on a single device. Dr. Alex Jones s laboratory instructions ECE/COE 0501 Lab Manual Altera DE2 FPGA Board Manual Lab Partner: Jenn Gingerich REFERENCES 8 H a v e r

Lab 13: FPGA Circuit Realization Ian Callahan

Lab 13: FPGA Circuit Realization Ian Callahan Callahan 1 Lab 13: FPGA Circuit Realization Ian Callahan (ipc8@pitt.edu) Purpose The goal of this lab was to implement the circuit description from Lab 12 and implement it on a Field Programmable Gate

More information

Lab #12: 4-Bit Arithmetic Logic Unit (ALU)

Lab #12: 4-Bit Arithmetic Logic Unit (ALU) Lab #12: 4-Bit Arithmetic Logic Unit (ALU) ECE/COE 0501 Date of Experiment: 4/3/2017 Report Written: 4/5/2017 Submission Date: 4/10/2017 Nicholas Haver nicholas.haver@pitt.edu 1 H a v e r PURPOSE The purpose

More information

Lab #11: Register Files

Lab #11: Register Files Lab #11: Register Files ECE/COE 0501 Date of Experiment: 3/20/2017 Report Written: 3/22/2017 Submission Date: 3/27/2017 Nicholas Haver nicholas.haver@pitt.edu 1 H a v e r PURPOSE The purpose of this lab

More information

STATIC RANDOM-ACCESS MEMORY

STATIC RANDOM-ACCESS MEMORY STATIC RANDOM-ACCESS MEMORY by VITO KLAUDIO OCTOBER 10, 2015 CSC343 FALL 2015 PROF. IZIDOR GERTNER Table of contents 1. Objective... pg. 2 2. Functionality and Simulations... pg. 4 2.1 SR-LATCH... pg.

More information

Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems

Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems 1 P a g e Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems Lab 6 35 Marks (3 weeks) Design of a Simple General-Purpose Processor Due Date: Week 12 Objective:

More information

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops Objective Construct a two-bit binary decoder. Study multiplexers (MUX) and demultiplexers (DEMUX). Construct an RS flip-flop from discrete gates.

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

Lab 17: Building a 4-Digit 7-Segment LED Decoder

Lab 17: Building a 4-Digit 7-Segment LED Decoder Phys2303 L.A. Bumm [Basys3 1.2.1] Lab 17 (p1) Lab 17: Building a 4-Digit 7-Segment LED Decoder In this lab you will make 5 test circuits in addition to the 4-digit 7-segment decoder. The test circuits

More information

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York DEPARTMENT: SUBJECT CODE AND TITLE: COURSE DESCRIPTION: REQUIRED: Electrical and Telecommunications Engineering Technology TCET 3122/TC

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7 California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 322: Digital Design with VHDL Laboratory 7 Rational: The purpose of this lab is to become familiar in using

More information

ELEC 204 Digital System Design LABORATORY MANUAL

ELEC 204 Digital System Design LABORATORY MANUAL Elec 24: Digital System Design Laboratory ELEC 24 Digital System Design LABORATORY MANUAL : 4-bit hexadecimal Decoder & 4-bit Increment by N Circuit College of Engineering Koç University Important Note:

More information

Laboratory Exercise 3

Laboratory Exercise 3 Laboratory Exercise 3 Latches, Flip-flops, and egisters The purpose of this exercise is to investigate latches, flip-flops, and registers. Part I Altera FPGAs include flip-flops that are available for

More information

Laboratory Exercise 6

Laboratory Exercise 6 Laboratory Exercise 6 The purpose of this exercise is to investigate latches, flip-flops, and counters. Part I Altera FPGAs include flip-flops that are available for implementing a user s circuit. We will

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

CS 261 Fall Mike Lam, Professor. Sequential Circuits

CS 261 Fall Mike Lam, Professor. Sequential Circuits CS 261 Fall 2018 Mike Lam, Professor Sequential Circuits Circuits Circuits are formed by linking gates (or other circuits) together Inputs and outputs Link output of one gate to input of another Some circuits

More information

Digital Logic. ECE 206, Fall 2001: Lab 1. Learning Objectives. The Logic Simulator

Digital Logic. ECE 206, Fall 2001: Lab 1. Learning Objectives. The Logic Simulator Learning Objectives ECE 206, : Lab 1 Digital Logic This lab will give you practice in building and analyzing digital logic circuits. You will use a logic simulator to implement circuits and see how they

More information

COMPUTER ENGINEERING PROGRAM

COMPUTER ENGINEERING PROGRAM COMPUTER ENGINEERING PROGRAM California Polytechnic State University CPE 169 Experiment 6 Introduction to Digital System Design: Combinational Building Blocks Learning Objectives 1. Digital Design To understand

More information

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE NORTHWESTERN UNIVERSITY TECHNOLOGICL INSTITUTE ECE 270 Experiment #8 DIGITL CIRCUITS Prelab 1. Draw the truth table for the S-R Flip-Flop as shown in the textbook. Draw the truth table for Figure 7. 2.

More information

Lab #11: Register Files

Lab #11: Register Files Lab #11: Register Files Zack Mattis Lab: 3/21/17 Report: 3/26/17 Partner: Brendan Schuster Purpose In this lab, 4x4 register was designed and fully implemented onto a protoboard that emulates the local

More information

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH CPE 200L LABORATORY 3: SEUENTIAL LOGIC CIRCUITS DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Learn to use Function Generator and Oscilloscope on the breadboard.

More information

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017 University of Texas at El Paso Electrical and Computer Engineering Department EE 2169 Laboratory for Digital Systems Design I Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Course Title: Digital Logic Full Marks: 60 + 0 + 0 Course No.: CSC Pass Marks:

More information

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL School of Engineering, University of Guelph Fall 2017 1 Objectives: Start Date: Week #7 2017 Report Due Date: Week #8 2017, in the

More information

Minnesota State College Southeast

Minnesota State College Southeast ELEC 2211: Digital Electronics II A. COURSE DESCRIPTION Credits: 4 Lecture Hours/Week: 2 Lab Hours/Week: 4 OJT Hours/Week: *.* Prerequisites: None Corequisites: None MnTC Goals: None Minnesota State College

More information

COE328 Course Outline. Fall 2007

COE328 Course Outline. Fall 2007 COE28 Course Outline Fall 2007 1 Objectives This course covers the basics of digital logic circuits and design. Through the basic understanding of Boolean algebra and number systems it introduces the student

More information

IS1500 (not part of IS1200) Logic Design Lab (LD-Lab)

IS1500 (not part of IS1200) Logic Design Lab (LD-Lab) Introduction IS1500 (not part of IS1200) Logic Design Lab (LD-Lab) 2017-10-26 The purpose of this lab is to give a hands-on experience of using gates and digital building blocks. These build blocks are

More information

Rensselaer Polytechnic Institute Computer Hardware Design ECSE Report. Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory

Rensselaer Polytechnic Institute Computer Hardware Design ECSE Report. Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory RPI Rensselaer Polytechnic Institute Computer Hardware Design ECSE 4770 Report Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory Name: Walter Dearing Group: Brad Stephenson David Bang

More information

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems 1 P a g e Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems Lab 5 - VHDL for Sequential Circuits: Implementing a customized State Machine 15 Marks ( 2 weeks) Due

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

CHAPTER 4 RESULTS & DISCUSSION

CHAPTER 4 RESULTS & DISCUSSION CHAPTER 4 RESULTS & DISCUSSION 3.2 Introduction This project aims to prove that Modified Baugh-Wooley Two s Complement Signed Multiplier is one of the high speed multipliers. The schematic of the multiplier

More information

Semester III. Subject Name: Digital Electronics. Subject Code: 09CT0301. Diploma Branches in which this subject is offered: Computer Engineering

Semester III. Subject Name: Digital Electronics. Subject Code: 09CT0301. Diploma Branches in which this subject is offered: Computer Engineering Semester III Subject Name: Digital Electronics Subject Code: 09CT0301 Diploma Branches in which this subject is offered: Objective: The subject aims to prepare the students, To understand the basic of

More information

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MDETS UCTECH's Modular Digital Electronics Training System is a modular course covering the fundamentals, concepts, theory and applications of digital electronics.

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Objective The objective of lab assignments 5 through 9 are to systematically design and implement

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

IMPLEMENTATION OF A BINARY SELECTION SYSTEM CREATED IN XILINX USING FPGA

IMPLEMENTATION OF A BINARY SELECTION SYSTEM CREATED IN XILINX USING FPGA ANNALS of Faculty Engineering Hunedoara International Journal of Engineering Tome XV [2017] Fascicule 3 [August] ISSN: 1584-2665 [print; online] ISSN: 1584-2673 [CD-Rom; online] a free-access multidisciplinary

More information

3/5/2017. A Register Stores a Set of Bits. ECE 120: Introduction to Computing. Add an Input to Control Changing a Register s Bits

3/5/2017. A Register Stores a Set of Bits. ECE 120: Introduction to Computing. Add an Input to Control Changing a Register s Bits University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Registers A Register Stores a Set of Bits Most of our representations use sets

More information

ET398 LAB 4. Concurrent Statements, Selection and Process

ET398 LAB 4. Concurrent Statements, Selection and Process ET398 LAB 4 Concurrent Statements, Selection and Process Decoders/Multiplexers February 16, 2013 Tiffany Turner OBJECTIVE The objectives of this lab were for us to become more adept at creating VHDL code

More information

DIGITAL FUNDAMENTALS

DIGITAL FUNDAMENTALS DIGITAL FUNDAMENTALS A SYSTEMS APPROACH THOMAS L. FLOYD PEARSON Boston Columbus Indianapolis New York San Francisco Upper Saddle River Amsterdam Cape Town Dubai London Madrid Milan Munich Paris Montreal

More information

Lecture 10: Programmable Logic

Lecture 10: Programmable Logic Lecture 10: Programmable Logic We ve spent the past couple of lectures going over some of the applications of digital logic And we can easily think of more useful things to do like having a 7-segment LED

More information

Digital Networks and Systems Laboratory 2 Basic Digital Building Blocks Time 4 hours

Digital Networks and Systems Laboratory 2 Basic Digital Building Blocks Time 4 hours Digital Networks and Systems Laboratory 2 Basic Digital Building Blocks Time 4 hours Aim To investigate the basic digital circuit building blocks constructed from combinatorial logic or dedicated Integrated

More information

Figure 7.8 Circuit Schematic with Switches, Logic Gate, and Flip-flop

Figure 7.8 Circuit Schematic with Switches, Logic Gate, and Flip-flop 7.5 Laboratory Procedure / Summary Sheet Group: Names: (1) Using the datasheet pin-out diagrams (Figures 7.5 through 7.7), draw a complete and detailed wiring diagram (showing all connections and all pin

More information

Altera s Max+plus II Tutorial

Altera s Max+plus II Tutorial Altera s Max+plus II Tutorial Written by Kris Schindler To accompany Digital Principles and Design (by Donald D. Givone) 8/30/02 1 About Max+plus II Altera s Max+plus II is a powerful simulation package

More information

A Combined Combinational-Sequential System

A Combined Combinational-Sequential System A Combined Combinational-Sequential System Object To construct a serial transmission circuit with a comparator to check the output. Parts () 7485 4-bit magnitude comparators (1) 74177 4-bit binary counter

More information

Fundamentals of Digital Electronics

Fundamentals of Digital Electronics Fundamentals of Digital Electronics by Professor Barry Paton Dalhousie University March 998 Edition Part Number 32948A- Fundamentals of Digital Electronics Copyright Copyright 998 by National Instruments

More information

Experiment # 4 Counters and Logic Analyzer

Experiment # 4 Counters and Logic Analyzer EE20L - Introduction to Digital Circuits Experiment # 4. Synopsis: Experiment # 4 Counters and Logic Analyzer In this lab we will build an up-counter and a down-counter using 74LS76A - Flip Flops. The

More information

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20 Advanced Devices Using a combination of gates and flip-flops, we can construct more sophisticated logical devices. These devices, while more complex, are still considered fundamental to basic logic design.

More information

Laboratory 10. Required Components: Objectives. Introduction. Digital Circuits - Logic and Latching (modified from lab text by Alciatore)

Laboratory 10. Required Components: Objectives. Introduction. Digital Circuits - Logic and Latching (modified from lab text by Alciatore) Laboratory 10 Digital Circuits - Logic and Latching (modified from lab text by Alciatore) Required Components: 1x 330 resistor 4x 1k resistor 2x 0.F capacitor 1x 2N3904 small signal transistor 1x LED 1x

More information

Find the equivalent decimal value for the given value Other number system to decimal ( Sample)

Find the equivalent decimal value for the given value Other number system to decimal ( Sample) VELAMMAL COLLEGE OF ENGINEERING AND TECHNOLOGY, MADURAI 65 009 Department of Information Technology Model Exam-II-Question bank PART A (Answer for all Questions) (8 X = 6) K CO Marks Find the equivalent

More information

TRAINING KITS ON DIGITAL ELECTRONIC EXPERIMENTS. Verify Truth table for TTL IC s AND, NOT, & NAND GATES

TRAINING KITS ON DIGITAL ELECTRONIC EXPERIMENTS. Verify Truth table for TTL IC s AND, NOT, & NAND GATES TRAINING KITS ON DIGITAL ELECTRONIC EXPERIMENTS CEE 2800 Basic Logic Gates using TTL IC's (7 in 1) To verify the truth table For TTL AND, OR. NOT, NAND,NOR, EX-OR, & EX-NOR Gates. Instrument comprises

More information

AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design

AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on

More information

Elwin Cabrera May 11, 2016 DIGITAL CLOCK. ECE271/CSC222 Final Project Report

Elwin Cabrera May 11, 2016 DIGITAL CLOCK. ECE271/CSC222 Final Project Report Elwin Cabrera May 11, 2016 DIGITAL CLOCK ECE271/CSC222 Final Project Report Problem Specification: For our CSC222/ECE271 final, we had to design a digital clock. We had to use Quartus and design a control

More information

Experiment (6) 2- to 4 Decoder. Figure 8.1 Block Diagram of 2-to-4 Decoder 0 X X

Experiment (6) 2- to 4 Decoder. Figure 8.1 Block Diagram of 2-to-4 Decoder 0 X X 8. Objectives : Experiment (6) Decoders / Encoders To study the basic operation and design of both decoder and encoder circuits. To describe the concept of active low and active-high logic signals. To

More information

Encoders and Decoders: Details and Design Issues

Encoders and Decoders: Details and Design Issues Encoders and Decoders: Details and Design Issues Edward L. Bosworth, Ph.D. TSYS School of Computer Science Columbus State University Columbus, GA 31907 bosworth_edward@colstate.edu Slide 1 of 25 slides

More information

Step 1 - shaft decoder to generate clockwise/anticlockwise signals

Step 1 - shaft decoder to generate clockwise/anticlockwise signals Workshop Two Shaft Position Encoder Introduction Some industrial automation applications require control systems which know the rotational position of a shaft. Similar devices are also used for digital

More information

The Calculative Calculator

The Calculative Calculator The Calculative Calculator Interactive Digital Calculator Chandler Connolly, Sarah Elhage, Matthew Shina, Daniyah Alaswad Electrical and Computer Engineering Department School of Engineering and Computer

More information

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS)

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) 1. Convert Binary number (111101100) 2 to Octal equivalent. 2. Convert Binary (1101100010011011) 2 to Hexadecimal equivalent. 3. Simplify the following Boolean function

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

Lecture 12: State Machines

Lecture 12: State Machines Lecture 12: State Machines Imagine writing the logic to control a traffic light Every so often the light gets a signal to change But change to what? It depends on what light is illuminated: If GREEN, change

More information

The Nexys 4 Number Cruncher. Electrical and Computer Engineering Department

The Nexys 4 Number Cruncher. Electrical and Computer Engineering Department The Nexys 4 Number Cruncher Bassam Jarbo, Donald Burns, Klajdi Lumani, Michael Elias Electrical and Computer Engineering Department School of Engineering and Computer Science Oakland University, Rochester

More information

UNIT 1 NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES 1. Briefly explain the stream lined method of converting binary to decimal number with example. 2. Give the Gray code for the binary number (111) 2. 3.

More information

Today 3/8/11 Lecture 8 Sequential Logic, Clocks, and Displays

Today 3/8/11 Lecture 8 Sequential Logic, Clocks, and Displays Today 3/8/ Lecture 8 Sequential Logic, Clocks, and Displays Flip Flops and Ripple Counters One Shots and Timers LED Displays, Decoders, and Drivers Homework XXXX Reading H&H sections on sequential logic

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

Digital Electronics Lab #4 February 12, 2008

Digital Electronics Lab #4 February 12, 2008 Mission Overview Your mission is to help develop a Load Before Launch Sequencer (LBLS) for the USS Harry S. Truman (CVN-75). The purpose of the LBLS is to alert the Yellow Shirts (the people who flag the

More information

Theory Lecture Day Topic Practical Day. Week. number systems and their inter-conversion Decimal, Binary. 3rd. 1st. 1st

Theory Lecture Day Topic Practical Day. Week. number systems and their inter-conversion Decimal, Binary. 3rd. 1st. 1st Lesson Plan Name of the Faculty : Priyanka Nain Discipline: Electronics & Communication Engg. Semester:5th Subject:DEMP Lesson Plan Duration: 15 Weeks Work Load(Lecture/Practical) per week (In Hours):

More information

Discussion of New Equipment

Discussion of New Equipment Mission Overview Your mission is to help develop a Load Before Launch Sequencer (LBLS) for the USS Harry S. Truman (CVN-75). The purpose of the LBLS is to alert the Yellow Shirts (the people who flag the

More information

RELATED WORK Integrated circuits and programmable devices

RELATED WORK Integrated circuits and programmable devices Chapter 2 RELATED WORK 2.1. Integrated circuits and programmable devices 2.1.1. Introduction By the late 1940s the first transistor was created as a point-contact device formed from germanium. Such an

More information

North Shore Community College

North Shore Community College North Shore Community College Course Number: IEL217 Section: MAL Course Name: Digital Electronics 1 Semester: Credit: 4 Hours: Three hours of Lecture, Two hours Laboratory per week Thursdays 8:00am (See

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

Lab 2: Hardware/Software Co-design with the Wimp51

Lab 2: Hardware/Software Co-design with the Wimp51 Lab 2: Hardware/Software Co-design with the Wimp51 CpE 214: Digital Engineering Lab II Last revised: February 26, 2013 (CAC) Hardware software co-design, now standard in industry, is an approach that brings

More information

Figure 1 Block diagram of a 4-bit binary counter

Figure 1 Block diagram of a 4-bit binary counter Lab 3: Four-Bit Binary Counter EE-459/500 HDL Based Digital Design with Programmable Logic Electrical Engineering Department, University at Buffalo Last update: Cristinel Ababei, August 2012 1. Objective

More information

Reaction Game Kit MitchElectronics 2019

Reaction Game Kit MitchElectronics 2019 Reaction Game Kit MitchElectronics 2019 www.mitchelectronics.co.uk CONTENTS Schematic 3 How It Works 4 Materials 6 Construction 8 Important Information 9 Page 2 SCHEMATIC Page 3 SCHEMATIC EXPLANATION The

More information

Laboratory 8. Digital Circuits - Counter and LED Display

Laboratory 8. Digital Circuits - Counter and LED Display Laboratory 8 Digital Circuits - Counter and Display Required Components: 2 1k resistors 1 10M resistor 3 0.1 F capacitor 1 555 timer 1 7490 decade counter 1 7447 BCD to decoder 1 MAN 6910 or LTD-482EC

More information

Laboratory 4. Figure 1: Serdes Transceiver

Laboratory 4. Figure 1: Serdes Transceiver Laboratory 4 The purpose of this laboratory exercise is to design a digital Serdes In the first part of the lab, you will design all the required subblocks for the digital Serdes and simulate them In part

More information

EXPERIMENT #6 DIGITAL BASICS

EXPERIMENT #6 DIGITAL BASICS EXPERIMENT #6 DIGITL SICS Digital electronics is based on the binary number system. Instead of having signals which can vary continuously as in analog circuits, digital signals are characterized by only

More information

University of Pennsylvania Department of Electrical and Systems Engineering. Digital Design Laboratory. Lab8 Calculator

University of Pennsylvania Department of Electrical and Systems Engineering. Digital Design Laboratory. Lab8 Calculator University of Pennsylvania Department of Electrical and Systems Engineering Digital Design Laboratory Purpose Lab Calculator The purpose of this lab is: 1. To get familiar with the use of shift registers

More information

EEE130 Digital Electronics I Lecture #1_2. Dr. Shahrel A. Suandi

EEE130 Digital Electronics I Lecture #1_2. Dr. Shahrel A. Suandi EEE130 Digital Electronics I Lecture #1_2 Dr. Shahrel A. Suandi 1-4 Overview of Basic Logic Functions Digital systems are generally built from combinations of NOT, AND and OR logic elements The combinations

More information

LAB 3 Verilog for Combinational Circuits

LAB 3 Verilog for Combinational Circuits Goals To Do LAB 3 Verilog for Combinational Circuits Learn how to implement combinational circuits using Verilog. Design and implement a simple circuit that controls the 7-segment display to show a 4-bit

More information

Chapter 7 Counters and Registers

Chapter 7 Counters and Registers Chapter 7 Counters and Registers Chapter 7 Objectives Selected areas covered in this chapter: Operation & characteristics of synchronous and asynchronous counters. Analyzing and evaluating various types

More information

CSE 352 Laboratory Assignment 3

CSE 352 Laboratory Assignment 3 CSE 352 Laboratory Assignment 3 Introduction to Registers The objective of this lab is to introduce you to edge-trigged D-type flip-flops as well as linear feedback shift registers. Chapter 3 of the Harris&Harris

More information

Logic Design Viva Question Bank Compiled By Channveer Patil

Logic Design Viva Question Bank Compiled By Channveer Patil Logic Design Viva Question Bank Compiled By Channveer Patil Title of the Practical: Verify the truth table of logic gates AND, OR, NOT, NAND and NOR gates/ Design Basic Gates Using NAND/NOR gates. Q.1

More information

Hardware Modeling of Binary Coded Decimal Adder in Field Programmable Gate Array

Hardware Modeling of Binary Coded Decimal Adder in Field Programmable Gate Array American Journal of Applied Sciences 10 (5): 466-477, 2013 ISSN: 1546-9239 2013 M.I. Ibrahimy et al., This open access article is distributed under a Creative Commons Attribution (CC-BY) 3.0 license doi:10.3844/ajassp.2013.466.477

More information

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL 1. A stage in a shift register consists of (a) a latch (b) a flip-flop (c) a byte of storage (d) from bits of storage 2. To serially shift a byte of data into a shift register, there must be (a) one click

More information

Inside Digital Design Accompany Lab Manual

Inside Digital Design Accompany Lab Manual 1 Inside Digital Design, Accompany Lab Manual Inside Digital Design Accompany Lab Manual Simulation Prototyping Synthesis and Post Synthesis Name- Roll Number- Total/Obtained Marks- Instructor Signature-

More information

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress Nor Zaidi Haron Ayer Keroh +606-5552086 zaidi@utem.edu.my Masrullizam Mat Ibrahim Ayer Keroh +606-5552081 masrullizam@utem.edu.my

More information

EXPERIMENT: 1. Graphic Symbol: OR: The output of OR gate is true when one of the inputs A and B or both the inputs are true.

EXPERIMENT: 1. Graphic Symbol: OR: The output of OR gate is true when one of the inputs A and B or both the inputs are true. EXPERIMENT: 1 DATE: VERIFICATION OF BASIC LOGIC GATES AIM: To verify the truth tables of Basic Logic Gates NOT, OR, AND, NAND, NOR, Ex-OR and Ex-NOR. APPARATUS: mention the required IC numbers, Connecting

More information

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053 SET - 1 1. a) What are the characteristics of 2 s complement numbers? b) State the purpose of reducing the switching functions to minimal form. c) Define half adder. d) What are the basic operations in

More information

HS Digital Electronics Pre-Engineering

HS Digital Electronics Pre-Engineering Course This course covers fundamentals of analog and digital electronics. Students learn about the different number systems used in the design of digital circuitry. They design circuits to solve open-ended

More information

Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design. Laboratory 3: Finite State Machine (FSM)

Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design. Laboratory 3: Finite State Machine (FSM) Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design Laboratory 3: Finite State Machine (FSM) Mapping CO, PO, Domain, KI : CO2,PO3,P5,CTPS5 CO2: Construct logic circuit using

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 03 February 09, 2012 Dohn Bowden 1 Today s Lecture Registers and Counters Chapter 12 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

Laboratory 11. Required Components: Objectives. Introduction. Digital Displays and Logic (modified from lab text by Alciatore)

Laboratory 11. Required Components: Objectives. Introduction. Digital Displays and Logic (modified from lab text by Alciatore) Laboratory 11 Digital Displays and Logic (modified from lab text by Alciatore) Required Components: 2x lk resistors 1x 10M resistor 3x 0.1 F capacitor 1x 555 timer 1x 7490 decade counter 1x 7447 BCD to

More information

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET LABORATORY MANUAL EXPERIMENT NO. 1 ISSUE NO. : ISSUE DATE: REV. NO. : REV. DATE :

More information

Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10

Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10 School Course Name : : ELECTRICAL ENGINEERING 2 ND YEAR ELECTRONIC DESIGN LAB Review : 2 Release Date : 2019 Last Amendment : 2013 Course Code : SKEE 2742 Procedure Number : PK-UTM-FKE-(0)-10 School of

More information

CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division

CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division CPSC 121: Models of Computation Lab #5: Flip-Flops and Frequency Division Objectives In this lab, you will see two types of sequential circuits: latches and flip-flops. Latches and flip-flops can be used

More information

EXPERIMENT 13 ITERATIVE CIRCUITS

EXPERIMENT 13 ITERATIVE CIRCUITS EE 2449 Experiment 13 Revised 4/17/2017 CALIFORNIA STATE UNIVERSITY LOS ANGELES Department of Electrical and Computer Engineering EE-246 Digital Logic Lab EXPERIMENT 13 ITERATIVE CIRCUITS Text: Mano, Digital

More information

Laboratory 7. Lab 7. Digital Circuits - Logic and Latching

Laboratory 7. Lab 7. Digital Circuits - Logic and Latching Laboratory 7 igital Circuits - Logic and Latching Required Components: 1 330 resistor 4 resistor 2 0.1 F capacitor 1 2N3904 small signal transistor 1 LE 1 7408 AN gate IC 1 7474 positive edge triggered

More information

ROEVER COLLEGE OF ENGINEERING & TECHNOLOGY ELAMBALUR, PERAMBALUR DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING

ROEVER COLLEGE OF ENGINEERING & TECHNOLOGY ELAMBALUR, PERAMBALUR DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING ROEVER COLLEGE OF ENGINEERING & TECHNOLOGY ELAMBALUR, PERAMBALUR-621220 DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING DIGITAL LOGIC CIRCUITS UNIT-1 BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS 1.

More information

Chapter 8 Functions of Combinational Logic

Chapter 8 Functions of Combinational Logic ETEC 23 Programmable Logic Devices Chapter 8 Functions of Combinational Logic Shawnee State University Department of Industrial and Engineering Technologies Copyright 27 by Janna B. Gallaher Basic Adders

More information