Controlling Linewidth Roughness in Step and Flash Imprint Lithography

Size: px
Start display at page:

Download "Controlling Linewidth Roughness in Step and Flash Imprint Lithography"

Transcription

1 Controlling Linewidth Roughness in Step and Flash Imprint Lithography Gerard M. Schmid a, Niyaz Khusnatdinov a, Cynthia B. Brooks a, Dwayne LaBrake a, Ecron Thompson a, Douglas J. Resnick a *, Jordan Owens b, Arnie Ford b, Shiho Sasaki c, Nobuhito Toyama c, Masaaki Kurihara c, and Naoya Hayashi c, Hideo Kobayashi d, Takashi Sato d, Osamu Nagarekawa d, Mark W. Hart e, Kailash Gopalakrishnan e, Rohit Shenoy e, Ron Jih e, Ying Zhang f, Edmund Sikorski f, Mary Beth Rothwell f, Shusuke Yoshitake g, Hitoshi Sunaoshi g, Kenichi Yasui g a Molecular Imprints, Inc., 807C West Braker Lane, Austin TX 78758, USA b Sematech ATDF, 706 Montopolis Drive, Austin, Texas , USA c Electronic Device Laboratory, Dai Nippon Printing Co., Ltd., --, Fukuoka, Fujimino-shi, Saitama , Japan d HOYA Corporation R&D Center -- Musashino,Akishima-shi,Tokyo Japan e IBM Almaden Research Center, 650 Harry Road San Jose, CA , USA f IBM Thomas J. Watson Research Center, 0 Kitchawan Road, Route Yorktown Heights, NY , USA g NuFlare Technology, Inc., 8, Shinsugita-cho, Isogo-ku, Yokohama 5-00, Japan ABSTRACT Despite the remarkable progress made in extending optical lithography to deep sub-wavelength imaging, the limit for the technology seems imminent. At nm half pitch design rules, neither very high NA tools (NA.6), nor techniques such as double patterning are likely to be sufficient. One of the key challenges in patterning features with these dimensions is the ability to minimize feature roughness while maintaining reasonable process throughput. This limitation is particularly challenging for electron and photon based NGL technologies, where fast chemically amplified resists are used to define the patterned images. Control of linewidth roughness (LWR) is critical, since it adversely affects device speed and timing in CMOS circuits. Imprint lithography has been included on the ITRS Lithography Roadmap at the and nm nodes. This technology has been shown to be an effective method for replication of nanometer-scale structures from a template (imprint mask). As a high fidelity replication process, the resolution of imprint lithography is determined by the ability to create a master template having the required dimensions. Although the imprint process itself adds no additional linewidth roughness to the patterning process, the burden of minimizing LWR falls to the template fabrication process. Non chemically amplified resists, such as ZEP50A, are not nearly as sensitive but have excellent resolution and can produce features with very low LWR. The purpose of this paper is to characterize LWR for the entire imprint lithography process, from template fabrication to the final patterned substrate. Three experiments were performed documenting LWR in the template, imprint, and after pattern transfer. On average, LWR was extremely low (less than nm, σ), and independent of the processing step and feature size. Keywords: S-FIL, template, imprint lithography, replication, linewidth roughness *dresnick@militho.com; phone: ; fax: ;

2 . INTRODUCTION Despite the remarkable progress made in the past decade in extending optical lithography to deep sub-wavelength imaging, the limit for the technology seems imminent. At nm half pitch design rules, neither very high NA tools (NA.6), nor techniques such as double patterning are likely to be sufficient. One of the key challenges in patterning features with these dimensions is the ability to minimize feature roughness while maintaining reasonable process throughput. This limitation is particularly challenging for electron and photon based NGL technologies, where fast chemically amplified resists are used to define the patterned images. Control of linewidth roughness (LWR) is critical, since it adversely affects device speed and timing in CMOS circuits. LWR guidelines for the industry are very aggressive. Table depicts the ITRS 006 roadmap for LWR as a function of both year and DRAM half pitch DRAM ½ Pitch LWR Table. ITRS roadmap for linewidth roughness (LWR), starting at the 65nm half pitch and extending out to 6nm. Imprint lithography has been included on the ITRS Lithography Roadmap at the and nm nodes. Step and Flash Imprint Lithography (S-FIL ) operates in a step-and-repeat fashion: the processes of deposition of imprint material, imprint, alignment, photocuring and release all occur sequentially as each die on a wafer is patterned., S-FIL utilizes UV-curable liquids that are dispensed in a drop-wise fashion to meet the local pattern density requirements of the template structures, thus enabling imprint patterning with a uniform residual layer. This technology has been shown to be an effective method for replication of nanometer-scale structures from a template mold. As a high fidelity replication process, the resolution of imprint lithography is determined by the ability to create a master template having the required dimensions. Although the imprint process itself adds no additional linewidth roughness to the patterning process, the burden of minimizing any linewidth roughness falls to the template fabrication process. Increasing the sensitivity of chemically amplified resists has been shown to cause increased LWR in both EUV and electron beam exposure processes. Non chemically amplified resists, such as ZEP50A, have excellent resolution but are not nearly as sensitive and can produce features with very low LWR. Non chemically amplified resists are therefore much better candidates for high resolution imprint templates. The purpose of this paper is to characterize LWR for the entire imprint lithography process, from template fabrication to the final patterned substrate.. EXPERIMENTAL DETAILS Templates used for analysis in this work were supplied by both Dai Nippon Printing (DNP) and Hoya. The bas ic process used to fabricate the templates is briefly described. Exposures were performed with either 50 kv variable shaped beam (VSB) pattern generators or 00 kv Gaussian beam (GB) pattern generators. Both a positive tone fast chemically amplified resist and a slower non-chemically amplified resist were employed on the VSB writers. ZEP50A was used in all cases when writing on GB systems. After exposure and development of the resists, the chromium and fused silica were etched using Cl /O and fluorine-based chemistry, respectively. The details of the process are discussed in References and. Mesa lithography and a mesa etch process, followed by a dice and polish step were employed to create a finished 65 mm x 65 mm template. 5 Imprinting of the template pattern was performed by using a Molecular Imprints Imprio 50 imprint tool. A Drop-On- Demand method was employed to dispense the photo-polymerizable acrylate based imprint solution in field locations across a 00 mm silicon wafer. The template was then lowered into liquid-contact with the substrate, displacing the

3 solution and filling the imprint field. UV irradiation through the backside of the template cured the acrylate monomer. The process was then repeated to completely populate the substrate. Details of the imprint process have previously been reported. 6 SOI wafers were etched using an Applied Materials capacitively-coupled etch chamber. Oxide wafers were etched in a Trion reactive ion etch chamber. LWR measurements were performed two different ways. In the first case, high resolution SEM images were taken with a JEOL JSM-60F field emission cold cathode SEM equipped with a tungsten emitter. The accelerating voltage can be varied from 0.5 to 0 kv. The system has intrinsic. nm resolution capability at 5 kv accelerating voltage, and.5nm at kv. Critical dimension (CD), linewidth roughness, and line edge roughness (LER) data were then extracted offline using the SIMAGIS automated image metrology software suite from Smart Imaging Technologies. For the analysis of some of the etch work, an AMAT NanoSEM was used to collect information on CD. LWR and LER. The beam accelerating voltage was 500V. 00 pixels were used per scan line and 56 lines were scanned for each feature.. RESULTS LWR was analyzed using eight different templates. Five different studies were performed: a) LWR from imprints obtained with a template fabricated using a VSB pattern generator and a fast CA resist, b) CD and LWR for 0nm and 0 nm semi-dense structures, evaluated after imprint, and after SOI etch, c) CD and LWR analysis for dense nm lines, starting at imprint, and ending after a clean process following an oxide etch, and d) an analysis of CD and LWR of the template and the imprinted images for features sizes ranging from half pitches of nm to nm. A 00 KV Gaussian beam pattern generator was used for cases b, c, and d. e) LWR from templates and imprints fabricated using a VSB pattern generator and a slower high resolution resist a. Imprints from a VSB Template Fast chemically amplified resists are typically used in the fabrication of X photomasks and have also been employed when writing full field X templates. Previous publications have noted that resolution is typically limited to 60nm with this type of processing. 7,8 LWR is also impacted, and Figure shows an example of the LWR obtained from 90nm dense lines using a template fabricated with a fast CA resist. SIMAGIS software was used to analyze CD, LWR and LER. Four lines were measured, with a sampling step of.79nm. The mean LWR was.7nm, with a σ variation of.7nm. The large LWR is primarily attributed to shot noise limitations during the exposure process. 9,0 Other templates created with somewhat slower CA resists have yielded somewhat better LWR results (< 8nm, σ), but nothing approaching the values suggested by the ITRS roadmap. 90nm HP line number line orientation, degree total line length, nm profile sampling step, nm scale, nm / pixel parameter line width LWR <s > left LER <s > right LER <s > pitch sigma inf <s > mean, nm std dev, nm Figure. Linewidth roughness (LWR) for 90nm half pitch imprinted lines. The template used to imprint these features was fabricated using a fast chemically amplified resist.

4 b. 0nm and 0nm Semi-dense Features The templates evaluated in the next three sections were all written using ZEP50A, a high resolution positive electron beam resist from Nippon Zeon. Depending on the amount of biasing employed and developer used, the dose required at 00 kv can vary from 00 µc/cm to over 00 µc/cm. Given the improved electron statistics, it is expected that the LWR would be significantly reduced. The first samples that were analyzed consisted of 0nm and 0nm semi-dense patterns that are being used to test addressing schemes for ultra -high density memory., Portions of a typical test structure are shown in Figure. Figure a shows a SEM image of the template for the 0nm test structures. Figure b shows the corresponding imprint of the test structure. The etched SOI fins, with apparently very smooth sidewalls, are shown in Figure c, and Figure d shows a cross section TEM image of the SOI fins after additional processing. Template Imprint ZEP50 A Etched SOI Fins X-Section of Processed Fins O Si BOx Figure. 0nm semi -dense structure: a) Template, b) imprinted features, c) etched SOI fins, and d) cross-section of etched fins after additional processing. 0nm field #6 LWR LER.76 0nm field # LWR LER.0 Figure. LWR and LER measurements of the 0nm and 0nm semi-dense features after etch.

5 SIMAGIS measurements of the imprinted 0nm lines revealed an LWR of.nm, σ. After imprinting, wafers were etched. Wafers and 5 were analyzed via CD-SEM, to obtain a more complete statistical view of the variations within a field, from field to field, and from wafer to wafer. Figure shows the LWR and LER results for a single set of 0nm and 0nm lines. LWR was comparable to the starting imprinted LWR, and LER was much less than.0nm. Fifteen lines across five fields were also measured on wafers and 5, and the results are shown in Figure. It is interesting to note that not only does the CD track from line to line, but so does the LWR. At 0nm, the correlation between wafer and 5 is 0.98 for CD and 0.58 for LWR. At 0nm, the correlation between wafer and 5 is for CD and 0.95 for LWR. These results lead us to believe that the imprint process yields both highly reproducible CD and LWR from fieldto-field. 50 CD- (nm) CD-5 (nm) LWR- (nm) LWR-5 (nm).5 Critical Dimension (nm) LWR =.6nm.5.5 LWR (nm) Line Number 65 CD0- CD0-5 LWR0- LWR0-5.5 Critical Dimension (nm) LWR =.6nm.5.5 LWR (nm) Line Number Figure. Critical dimension (CD) and LWR for the 0nm and 0nm features after etch for two different wafers. Note the good correlation for both CD and LWR.

6 c. nm Dense lines A nm design template (supplied by DNP) provided a first opportunity to track CD and LWR for dense features through etch. Process steps examined included imprint, descum, oxide etch and wet clean. The results of this study are shown in Figure 5. The center eight lines of a ten line pattern were analyzed with respect to CD, LWR and LER. The outer lines were not included to avoid possible asymmetric etch effects. After imprint, the mean LWR measured.8nm. The statistics for the imprinted features are shown in the bottom right hand corner of the image. It is interesting to observe that lines and have a difference in LWR of approximately nm, yet the scanned image (bottom left) gives no indication of any obvious difference in line roughness. The conclusion drawn is that for these values of LWR, it is not possible to distinguish differences between nm and nm of LWR, and that better methodologies will be necessary to characterize LWR values less than nm, σ. As the wafer was processed, LWR remained low, and to within measurement error, no discernable difference in LWR could be detected. Imprint Descum..nm.8nm 9.nm.5nm CD LWR Oxide Etch Clean 0.7nm.7nm 8.nm.9nm 8 Imprint Statistics Results / Line Width min, nm Width max, nm Width med, nm LWR <s > nm LER Left <s > nm LER Right < s > nm Figure 5. CD and LWR for nm dense lines starting with the imprint process and ending with a clean process after etch. LWR is less than nm, and is nearly constant throughout the process. d. nm Dense Lines A template containing both dense and semi-dense features ranging in size from nm to nm provided an opportunity to compare LWR between the starting template and the imprinted images. SEM images of the template, provided by DNP, are shown in Figure 6. The graph, to the right of the images, plots CD and LWR as a function of coded CD. CD remained linear (to within 5%) across all feature sizes, and LWR measured.nm and was nominally independent of feature size. Imprint results with this template are shown in Figure 7a. Three imprints of the nm patterns had a mean LWR of.7nm, closely tracking what was observed in the template. The mean CD for all fifteen lines was.7nm, with a σ variation of only.6nm.

7 nm 6nm 6 8 Measured CD (nm) nm nm 6 LWR (nm) Coded CD (nm) Figure 6. a) Template SEMs for CDs ranging from nm to nm. b) CD and LWR as a function of coded CD. CD response is linear, while LWR is independent of feature size. Two additional templates with minimum CDs of 8nm and 6nm were also imprinted to observe if low values of LWR were maintained. One example is shown in shown in Figure 7b. LWR from the 8nm and 6nm features measured.60nm and.5nm, respectively. nm # LWR=.55nm # LWR=.05nm 6nm a. b. # LWR=.60nm CD=.7nm σ=.6nm Figure 7. a) nm imprints from the template shown in Figure 6. LWR of the imprint is comparable to that seen in the template. b) An imprint at 6nm (right image. Image courtesy of Toshiba.). LWR remains low.

8 e. Analysis of all GB data Figure 8 shows a plot of LWR versus CD from all of the high resolution features in this study and includes all of the data from templates, imprints, and etched wafers. The data set consisted of one hundred and thirty measurements, and the mean LWR was.87nm. The lowest observed LWR was.70nm, which is the target value for LWR nm DRAM half pitch in 0. To first order, LWR is independent of both feature size and process step, for the processes employed in this study. LWR (nm) 5 Total # lines measured: 0 LWR mean LWR min LWR max σ =.87nm =.70nm =.9nm =.7nm Template Imprint Etch Fit Measured CD (nm) Figure 8. LWR as a function of feature size for all lines measured. To first order, LWR is independent of feature size and process step. f. VSB Pattern Generation using ZEP50A The resist processes developed for sections b, c and d were then applied to VSB writers, in order to understand the effect on LWR. For these experiments, an EBM-5000 and the EBM-6000 variable shape beam pattern generators from NuFlare Technology were used to pattern the images on the substrates. Several key specifications of the EBM-6000, resulting in improved performance over the EBM-5000 include higher current density (70 A/cm ), astigmatism correction in the subfields, optimized variable stage speed control, and improved data handling to increase the maximum shot count limitation. nm 0 nm Figure 9. Dense lines on a template fabricated with a NuFlare EBM-5000 and ZEP50A resist. 5 nm

9 For the first plate, an EBM-5000 was used to expose the ZEP50A resist. Finished template features are shown in Figure 9. Lines as small as nm were resolved. In attempt to improve LWR, an improved resist process was then applied, along with a thinner (50nm) layer of ZEP50A, and exposed on an EBM The resist features are shown in Figure 0. nm HP 9nm HP Figure 0. nm and 9nm dense lines imaged using an EBM-6000 and ZEP50A resist. The resist thickness was 50nm. A negative bias of -nm was also applied in order to improve resolution and reduce LWR Template Imprint Etch Fit VSB Template VSB Resist LWR (nm) Measured CD (nm) Figure. LWR for all templates exposed using ZEP50A. The LWR obtained from exposures on VSB systems is imp roved relative to the results obtained on VSB systems using a chemically amplified resist. The LWR from lines defined in Figures 9 and 0 was measured and included with the data shown in Figure 8. The result is shown in Figure. For the case when the EBM-5000 was used, the LWR varied between and 7nm, which is a significant reduction relative to the LWR obtained using a chemically amplified resist (see Figure ). It was noted that

10 LWR did increase as feature size approached nm. When the improved resis t process was applied on the EBM-6000, an additional improvement (of about nm) in LWR was obtained for the smallest features measured. The LWR is still higher than what was obtained on Gaussian beam writers, and the process continues to be refined in order to further reduce LWR.. CONCLUSION LWR, a critical parameter for determining device performance, has been characterized for the S-FIL process. Advantages of using low sensitivity electron beam resists, such as ZEP50A, and Gaussian beam pattern generators were observed. LWR was characterized on the template, after imprint, and after etch into two different substrates. In the case where Gaussian beam pattern generators were used, LWR was independent of feature size (measured down to 0nm) and process step. Extremely low values were noted:.87nm on average, with a minimum of.70nm. Improvements in LWR were also noted when using ZEP50A on NuFlare VSB systems. Future work will determine if these low values can be achieved when writing full field templates with high resolution resists on VSB pattern generators. ACKNOWLEDGMENTS The authors appreciate the support of S. V. Sreenivasan and Mark Melliar-Smith. This work was partially funded by DARPA (APC Grant H$ ) and NIST-ATP. REFERENCES. M. Colburn, S. Johnson, M. Stewart, S. Damle, T. Bailey, B. Choi, M. Wedlake, T. Michaelson, S. V. Sreenivasan, J. Ekerdt, and C. G. Willson, Proc. SPIE, Emerging Lithographic Technologies III, 79 (999).. T. C. Bailey, D. J. Resnick, D. Mancini, K. J. Nordquist, W. J. Dauksher, E. Ainley, A. Talin, K. Gehoski, J. H. Baker, B. J. Choi, S. Johnson, M. Colburn, S. V. Sreenivasan, J. G. Ekerdt, and C. G. Willson, Microelectronic Engineering 6-6 (00) D. J. Resnick, W. J. Dauksher, D. P. Mancini, K. J. Nordquist, E. S. Ainley, K. A. Gehoski, J. H. Baker, T. C. Bailey, B. J. Choi, S. C. Johnson; S. V. Sreenivasan, J. G. Ekerdt; C. Grant Willson, Proc. SPIE, 688, 05 (00).. G. M. Schmid, E. Thompson, N. Stacey, D. J. Resnick, D. L. Olynick, E. H. Anderson, Proc. SPIE, 657, (007). 5. L. Jeff Myron, E. Thompson, I. McMackin, D. J. Resnick, T. Kitamura, T. Hasebe, S. Nakazawa, T. Tokumoto, E. Ainley, K. Nordquist, and W. J. Dauksher, Proc. SPIE 65, (006). 6. M. Colburn, T. Bailey, B. J. Choi, J. G. Ekerdt, S. V. Sreenivasan, Solid State Technology, 67, June M. Irmscher, J. Butschke, G. Hess; C. Koepernik, F. Letzkus, M. Renno, H. Sailer, H. Schulz, A. Schwersenz, E. Thompson, Proc. SPIE, 65, (006). 8. D. J. Resnick, E. Thompson, L. Jeff Myron, G. M. Schmid, Microlithography World, Feb A. R. Neureuther, R. F. W. Pease, L. Yuan, K. Baghbani Parizi, H. Esfandyarpour, W. J. Poppe, J. A. Liddle, E. H. Anderson, J. Vac. Sci. Technol. B, 90, Jul/Aug G. M. Gallatin, Proc. SPIE 575, 8 (005).. K. Gopalakrishnan, R. S. Shenoy, C. T. Rettner, R. S. King, Y. Zhang, B. Kurdi, L. D. Bozano, J. J. Welser, M. E. Rothwell, M. Jurich, M. I. Sanchez, M. Hernandez, P. M. Rice, W. P. Risk, and H. K. Wickramasinghe, IEDM Tech. Dig., 005, pp R. Shenoy, K. Gopalakrishnan, C. Rettner, L. Bozano, R. King, B. Kurdi, and H. Wickramasinghe, Proc. Symp. VLSI Technology, June 006, pp.0-.. S. Yoshitake, H. Sunaoshi, K. Yasui, H. Kobayashi, T. Sato, O. Nagarekawa, E. Thompson, G. Schmid, D. J. Resnick, to be published in the 7th Annual SPIE Photomask Proceedings, 007.

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Hong Xiao, Long (Eric) Ma, Fei Wang, Yan Zhao, and Jack Jau Hermes Microvision, Inc., 1762 Automation Parkway, San Jose,

More information

PROGRESS OF UV-NIL TEMPLATE MAKING

PROGRESS OF UV-NIL TEMPLATE MAKING PROGRESS OF UV-NIL TEMPLATE MAKING Takaaki Hiraka, Jun Mizuochi, Yuko Nakanishi, Satoshi Yusa, Shiho Sasaki, Yasutaka Morikawa, Hiroshi Mohri, and Naoya Hayashi Electronic Device Laboratory, Dai Nippon

More information

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Ecron Thompson, Peter Rhyins, Ron Voisin, S.V. Sreenivasan *, Patrick Martin Molecular Imprints, Inc., 1807C

More information

Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media

Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media Douglas J. Resnick, Gaddi Haase, Lovejeet Singh, David Curran, Gerard M. Schmid, Kang Luo, Cindy Brooks, Kosta Selinidis,

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

Nano-Imprint Lithography Infrastructure: Imprint Templates

Nano-Imprint Lithography Infrastructure: Imprint Templates Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images?

More information

~ 50, ,000 ~ $500K

~ 50, ,000 ~ $500K Mask Replication The lifetime of a mask is anticipated to be ~ 50,000 100,000 imprints An e-beam written master mask will cost ~ $500K If you wanted to print 1M wafers, you would spend ~ $500M on masks

More information

Recent results of Multi-beam mask writer MBM-1000

Recent results of Multi-beam mask writer MBM-1000 Recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Hiroshi Yamashita, Hideo Inoue, Kenji Ohtoshi, Hirokazu Yamada Member of the ebeam Initiative 1 NFT s mask writer roadmap 2016 Device

More information

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Aki Fujimura* a, Takashi Kamikubo b, Ingo Bork a a D2S Inc., 4040 Moorpark Ave, Suite 250, San Jose, CA, 95117, USA; b NuFlare

More information

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. June 2011 Volume 27, Issue 6 Paper 7970-8 Progress in Mask Replication using Jet and Flash

More information

Multi-Shaped E-Beam Technology for Mask Writing

Multi-Shaped E-Beam Technology for Mask Writing Multi-Shaped E-Beam Technology for Mask Writing Juergen Gramss a, Arnd Stoeckel a, Ulf Weidenmueller a, Hans-Joachim Doering a, Martin Bloecker b, Martin Sczyrba b, Michael Finken b, Timo Wandel b, Detlef

More information

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Zhengmao Ye, Kang Luo, Xiaoming Lu, Brian Fletcher, Weijun Liu, Frank Xu, Dwayne LaBrake, Douglas Resnick,

More information

Introduction and recent results of Multi-beam mask writer MBM-1000

Introduction and recent results of Multi-beam mask writer MBM-1000 Introduction and recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Yasuo Kato, Munehiro Ogasawara, Hirokazu Yamada February 23 rd, 2016 Member of the ebeam Initiative NFT s mask writer

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

The Transition to Patterned Media in Hard Disk Drives

The Transition to Patterned Media in Hard Disk Drives The Transition to Patterned Media in Hard Disk Drives The Evolution of Jet and Flash Imprint Lithography for Patterned Media DISKCON San Jose Sept 24 rd, 2009 Paul Hofemann, Vice President, HDD Future

More information

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

The Challenges in Making NIL Master Templates

The Challenges in Making NIL Master Templates The Challenges in Making NIL Master Templates Naoya Hayashi Dai Nippon Printing Co., Ltd. A Member of the ebeam Initiative 2011 Dai Nippon Printing Co.,Ltd. All Rights Reserved. OUTLINE Recent Progress

More information

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip Abstract Based on failure analysis data the estimated failure mechanism in capacitor like device structures was simulated on wafer in Front End of Line. In the study the optimal process step for electron

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th Double Patterning Rasha El-Jaroudi November 7 th 2017 reljaroudi@utexas.edu Outline Motivation Techniques Future of Double Patterning Rasha H. El-Jaroudi 2 1 Motivation Need to keep up with Moore s Law

More information

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross Materials Chemistry, LLC 1 Polymers in the Electronic Industry Enabling Materials Active Materials?

More information

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Linyong (Leo) Pang Bo Su, Yohan Choi D2S, Inc. 1 193i Needed to be Extended and Extended

More information

Auto classification and simulation of mask defects using SEM and CAD images

Auto classification and simulation of mask defects using SEM and CAD images Auto classification and simulation of mask defects using SEM and CAD images Tung Yaw Kang, Hsin Chang Lee Taiwan Semiconductor Manufacturing Company, Ltd. 25, Li Hsin Road, Hsinchu Science Park, Hsinchu

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

Readiness and Challenges of EUV Mask

Readiness and Challenges of EUV Mask Panel Discussion: EUVL HVM Insertion and Scaling Readiness and Challenges of EUV Mask Takashi Kamo Toshiba Corporation Semiconductor & Storage Products Company Contents [1] Introduction [2] EUV Mask Defect

More information

Electron Beam Technology

Electron Beam Technology Electron Beam Technology Speed up! High Performance Electron Beam Lithography dedicated electron beam lithography To bridge cutting-edge research and nanofabrication, a dedicated nanolithography solution

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

EUV Blank Inspection

EUV Blank Inspection EUV Blank Inspection J.H. Peters* a, C. Tonk a, D. Spriegel b, Hak-Seung Han c, Wonil Cho c, Stefan Wurm d a Advanced Mask Technology Center, Raehnitzer Allee 9, 01109 Dresden, Germany; b Siemens AG, Corporate

More information

Overcoming Challenges in 3D NAND Volume Manufacturing

Overcoming Challenges in 3D NAND Volume Manufacturing Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit

More information

24. Scaling, Economics, SOI Technology

24. Scaling, Economics, SOI Technology 24. Scaling, Economics, SOI Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 December 4, 2017 ECE Department, University

More information

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection Ravi Bonam 1, Hung-Yu Tien 2, Acer Chou 2, Luciana Meli 1, Scott Halle 1, Ivy Wu 2, Xiaoxia Huang 2, Chris Lei 2,

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

ABSTRACT 1 INTRODUCTION

ABSTRACT 1 INTRODUCTION Novel lithography technique using an ASML Stepper/Scanner for the manufacture of display devices in MEMS world ASML US, Inc Special Applications, 6580 Via Del Oro San Jose, CA 95119 Keith Best, Pankaj

More information

Because Innovation Matters

Because Innovation Matters Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010 Safe Harbor This presentation contains forward-looking statements, including

More information

FUJISAWA Toru, HAYASHI Masanao, HASEBE Hiroshi, TAKEUCHI Kiyofumi, TAKATSU Haruyoshi, and KOBAYASHI Shunsuke

FUJISAWA Toru, HAYASHI Masanao, HASEBE Hiroshi, TAKEUCHI Kiyofumi, TAKATSU Haruyoshi, and KOBAYASHI Shunsuke Novel PSV-FLCDs with High Response Speed, High Optical Throughput, and High Contrast Ratio with Small Voltage Shift by Temperature: Application to Field Sequential Full Color LCDs FUJISAWA Toru, HAYASHI

More information

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Clara Dimas, Julie Perreault, Steven Cornelissen, Harold Dyson, Peter Krulevitch, Paul Bierden, Thomas Bifano, Boston Micromachines

More information

Sub-micron high aspect ratio silicon beam etch

Sub-micron high aspect ratio silicon beam etch Sub-micron high aspect ratio silicon beam etch Gary J. O Brien a,b, David J. Monk b, and Khalil Najafi a a Center for Wireless Integrated Microsystems, Dept. of Electrical Engineering and Computer Science

More information

Advanced Sensor Technologies

Advanced Sensor Technologies Advanced Sensor Technologies Jörg Amelung Fraunhofer Institute for Photonics Microsystems Name of presenter date Sensors as core element for IoT Next phase of market grow New/Advanced Requirements based

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr January 2012 Written by: Maher SAHMIMI DISCLAIMER :

More information

Deep Silicon Etch Technology for Advanced MEMS Applications

Deep Silicon Etch Technology for Advanced MEMS Applications Deep Silicon Etch Technology for Advanced MEMS Applications Shenjian Liu, Ph.D. Managing Director, AMEC AMEC Company Profile and Product Line-up AMEC HQ, R&D and MF Facility in Shanghai AMEC Taiwan AMEC

More information

Study of Pattern Area Reduction. with FinFET and SGT for LSI

Study of Pattern Area Reduction. with FinFET and SGT for LSI Contemporary Engineering Sciences, Vol. 6, 2013, no. 4, 177-190 HIKRI Ltd, www.m-hikari.com Study of Pattern rea Reduction with FinFET and SGT for LSI Takahiro Kodama Japan Process Development Co., Ltd.

More information

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON - CONTRIBUTORS FORM ADVANCED LITHO AND CU-LOW-K IIAP PROGRAMS - ASML VELDHOVEN DEMO LAB FOR EXPOSURES EUV

More information

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION Yield enhancement of 3D flash devices through broadband brightfield inspection of the channel hole process module Jung-Youl Lee a, Il-Seok Seo a, Seong-Min Ma a, Hyeon-Soo Kim a, Jin-Woong Kim a DoOh Kim

More information

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications Angela Kok, Thor-Erik Hansen, Trond Hansen, Geir Uri Jensen, Nicolas Lietaer, Michal Mielnik, Preben Storås

More information

NMOS linear image sensor

NMOS linear image sensor Image sensor highly sensitive to X-rays from 0 k to 00 kev s are self-scanning photodiode arrays designed specifically as detectors for multichannel spectroscopy. The scanning circuit is made up of N-channel

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

BEAMAGE 3.0 KEY FEATURES BEAM DIAGNOSTICS PRELIMINARY AVAILABLE MODEL MAIN FUNCTIONS. CMOS Beam Profiling Camera

BEAMAGE 3.0 KEY FEATURES BEAM DIAGNOSTICS PRELIMINARY AVAILABLE MODEL MAIN FUNCTIONS. CMOS Beam Profiling Camera PRELIMINARY POWER DETECTORS ENERGY DETECTORS MONITORS SPECIAL PRODUCTS OEM DETECTORS THZ DETECTORS PHOTO DETECTORS HIGH POWER DETECTORS CMOS Beam Profiling Camera AVAILABLE MODEL Beamage 3.0 (⅔ in CMOS

More information

Possible Paths for Cu CMP

Possible Paths for Cu CMP Possible Paths for Cu CMP J.S. Drewery, V. Hardikar, S.T. Mayer, H. Meinhold, F. Juarez, and J. Svirchevski Presented by Julia Svirchevski Agenda Perceived Need for ECMP Technology Differentiation Profile

More information

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Overview This document addresses the following chuck edge design issues: Device yield through system uniformity and particle reduction; System

More information

MODE FIELD DIAMETER AND EFFECTIVE AREA MEASUREMENT OF DISPERSION COMPENSATION OPTICAL DEVICES

MODE FIELD DIAMETER AND EFFECTIVE AREA MEASUREMENT OF DISPERSION COMPENSATION OPTICAL DEVICES MODE FIELD DIAMETER AND EFFECTIVE AREA MEASUREMENT OF DISPERSION COMPENSATION OPTICAL DEVICES Hale R. Farley, Jeffrey L. Guttman, Razvan Chirita and Carmen D. Pâlsan Photon inc. 6860 Santa Teresa Blvd

More information

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec SEMICON Europe October 2009 Pushing Lithography to the Limits imec 2009 1 Alternative double patterning processes : ready for (sub) 32nm hp? P. Wong, M. Maenhoudt, D. Vangoidsenhoven, V. Wiaux Outline

More information

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing 1 Oliver D. Patterson, 1 Xing J. Zhou, 1 Rohit S. Takalkar, 1 Katherine V. Hawkins, 1 Eric H.

More information

ksa ScanningPyro ksa ScanningPyro Full Carrier Temperature Maps at the Click of a Button!

ksa ScanningPyro ksa ScanningPyro Full Carrier Temperature Maps at the Click of a Button! ksa ScanningPyro Full Carrier Temperature Maps at the Click of a Button! The ksa ScanningPyro metrology tool is designed to quickly, easily, and accurately generate full wafer carrier temperature maps

More information

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB)

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB) Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB) Final report for Nanofabrication with Focused Ion and Electron beams course (SK3750) Amin Baghban June 2015 1- Introduction Thanks

More information

Screen investigations for low energetic electron beams at PITZ

Screen investigations for low energetic electron beams at PITZ 1 Screen investigations for low energetic electron beams at PITZ S. Rimjaem, J. Bähr, H.J. Grabosch, M. Groß Contents Review of PITZ setup Screens and beam profile monitors at PITZ Test results Summary

More information

Lossless Compression Algorithms for Direct- Write Lithography Systems

Lossless Compression Algorithms for Direct- Write Lithography Systems Lossless Compression Algorithms for Direct- Write Lithography Systems Hsin-I Liu Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California at Berkeley

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Classification and printability of EUV mask defects from SEM images Wonil Cho, Daniel Price, Paul A. Morgan, Daniel Rost, Masaki

More information

CNT FIELD EMISSION CATHODE CATALOG. XinRay Systems Inc. April 2014

CNT FIELD EMISSION CATHODE CATALOG. XinRay Systems Inc. April 2014 CNT FIELD EMISSION CATHODE CATALOG April 2014 Version 1 1 TABLE OF CONTENTS: 1. ABBREVIATIONS... 2 2. INTRODUCTION... 3 3. PRODUCT AT A GLANCE... 6 4. CARBON NANOTUBE (CNT) CATHODE INFORMATION CHART*...

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS RELIABILITY REPORT FOR PLASTIC ENCAPSULATED DEVICES May 4, 2009 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Approved by Ken Wendel Quality Assurance Director, Reliability Engineering

More information

Commissioning the TAMUTRAP RFQ cooler/buncher. E. Bennett, R. Burch, B. Fenker, M. Mehlman, D. Melconian, and P.D. Shidling

Commissioning the TAMUTRAP RFQ cooler/buncher. E. Bennett, R. Burch, B. Fenker, M. Mehlman, D. Melconian, and P.D. Shidling Commissioning the TAMUTRAP RFQ cooler/buncher E. Bennett, R. Burch, B. Fenker, M. Mehlman, D. Melconian, and P.D. Shidling In order to efficiently load ions into a Penning trap, the ion beam should be

More information

Review Report of The SACLA Detector Meeting

Review Report of The SACLA Detector Meeting Review Report of The SACLA Detector Meeting The 2 nd Committee Meeting @ SPring-8 Date: Nov. 28-29, 2011 Committee Members: Dr. Peter Denes, LBNL, U.S. (Chair of the Committee) Prof. Yasuo Arai, KEK, Japan.

More information

Uniformity Improvement of the Ion Implantation System for Low Temperature Poly-Silicon TFTs

Uniformity Improvement of the Ion Implantation System for Low Temperature Poly-Silicon TFTs Journal of the Korean Physical Society, Vol. 48, January 2006, pp. S27 S31 Uniformity Improvement of the Ion Implantation System for Low Temperature Poly-Silicon TFTs Hirohiko Murata, Masateru Sato, Eiji

More information

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED) Chapter 2 Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED) ---------------------------------------------------------------------------------------------------------------

More information

High ResolutionCross Strip Anodes for Photon Counting detectors

High ResolutionCross Strip Anodes for Photon Counting detectors High ResolutionCross Strip Anodes for Photon Counting detectors Oswald H.W. Siegmund, Anton S. Tremsin, Robert Abiad, J. Hull and John V. Vallerga Space Sciences Laboratory University of California Berkeley,

More information

Development of Ultra-High-Density (UHD) Silicon Photomultipliers with improved Detection Efficiency

Development of Ultra-High-Density (UHD) Silicon Photomultipliers with improved Detection Efficiency Development of Ultra-High-Density (UHD) Silicon Photomultipliers with improved Detection Efficiency Fabio Acerbi, Alberto Gola, Giovanni Paternoster, Claudio Piemonte, Nicola Zorzi http://iris.fbk.eu/silicon-photomultipliers

More information

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. Richard Barnett Dave Thomas Oliver Ansell ABSTRACT Plasma dicing has rapidly gained traction as a viable

More information

CAEN Tools for Discovery

CAEN Tools for Discovery Viareggio March 28, 2011 Introduction: what is the SiPM? The Silicon PhotoMultiplier (SiPM) consists of a high density (up to ~10 3 /mm 2 ) matrix of diodes connected in parallel on a common Si substrate.

More information

Performance of a DC GaAs photocathode gun for the Jefferson lab FEL

Performance of a DC GaAs photocathode gun for the Jefferson lab FEL Nuclear Instruments and Methods in Physics Research A 475 (2001) 549 553 Performance of a DC GaAs photocathode gun for the Jefferson lab FEL T. Siggins a, *, C. Sinclair a, C. Bohn b, D. Bullard a, D.

More information

Wafer defects can t hide from

Wafer defects can t hide from WAFER DEFECTS Article published in Issue 3 2016 Wafer defects can t hide from Park Systems Atomic Force Microscopy (AFM) leader Park Systems has simplified 300mm silicon wafer defect review by automating

More information

Nova NanoSEM Superior Imaging and Analytical Performance

Nova NanoSEM Superior Imaging and Analytical Performance Nova NanoSEM Superior Imaging and Analytical Performance FEI Nova NanoSEM scanning electron microscopes combine best-in-class imaging with superb analytical performance in one easy-to-use instrument.

More information

Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED

Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED Patterning Challenges for N7 and Beyond At a Crossroads Steven Scheer Director, Corporate Development Division TOKYO ELECTRON LIMITED Advanced Technologies in Accelerating Digital Era and IoT Forum Friday,

More information

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World The World Leader in High Performance Signal Processing Solutions Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World Dave Robertson-- VP of Analog Technology

More information

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Double Patterning OPC and Design for 22nm to 16nm Device Nodes Double Patterning OPC and Design for 22nm to 16nm Device Nodes Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Xiaohai Li, Levi Barnes, Weimin Gao Synopsys Inc. Vincent Wiaux IMEC 1 Outline Introduction

More information

Production and Development status of MPPC

Production and Development status of MPPC Production and Development status of MPPC Kazuhisa Yamamura 1 Solid State Division, Hamamatsu Photonics K.K. Hamamatsu-City, 435-8558 Japan iliation E-mail: yamamura@ssd.hpk.co.jp Kenichi Sato, Shogo Kamakura

More information

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Akshey Sehgal, Anand Kadiyala, Michael DeVre and, Norberto Oliveria April 10 th, 2018 Background Due to higher aspect ratio features observed

More information

Connection for filtered air

Connection for filtered air BeamWatch Non-contact, Focus Spot Size and Position monitor for high power YAG, Diode and Fiber lasers Instantly measure focus spot size Dynamically measure focal plane location during start-up From 1kW

More information

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Tolis Voutsas* Paul Schuele* Bert Crowder* Pooran Joshi* Robert Sposili* Hidayat

More information

DESIGN OF VISIBLE LIGHT COMMUNICATION SYSTEM

DESIGN OF VISIBLE LIGHT COMMUNICATION SYSTEM DESIGN OF VISIBLE LIGHT COMMUNICATION SYSTEM *Vishakh B V, **Mohammed Kamal Khwaja *School of Electronics Engineering, VIT University, Vellore, India ** School of Electronics Engineering, VIT University,

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

Backside Circuit Edit on Full-Thickness Silicon Devices

Backside Circuit Edit on Full-Thickness Silicon Devices Backside Circuit Edit on Full-Thickness Silicon Devices Presentation Title Line 1 Title Line Two Can I really skip the global thinning step?! Date Presenter Name Chad Rue FEI Company, Hillsboro, OR, USA

More information

Progress in Scale-up of 2G HTS Wire at SuperPower Part I

Progress in Scale-up of 2G HTS Wire at SuperPower Part I superior performance. powerful technology. Progress in Scale-up of 2G HTS Wire at SuperPower Part I V. Selvamanickam & Y. Xie Y. Chen, X. Xiong, M. Martchevski, Y. Qiao, A. Rar, B. Gogia, R. Schmidt, A.

More information

White Paper. Uniform Luminance Technology. What s inside? What is non-uniformity and noise in LCDs? Why is it a problem? How is it solved?

White Paper. Uniform Luminance Technology. What s inside? What is non-uniformity and noise in LCDs? Why is it a problem? How is it solved? White Paper Uniform Luminance Technology What s inside? What is non-uniformity and noise in LCDs? Why is it a problem? How is it solved? Tom Kimpe Manager Technology & Innovation Group Barco Medical Imaging

More information

Focused Ion Beam System MI4050

Focused Ion Beam System MI4050 SCIENTIFIC INSTRUMENT NEWS 2016 Vol. 7 SEPTEMBER Technical magazine of Electron Microscope and Analytical Instruments. Technical Explanation Focused Ion Beam System MI4050 Yasushi Kuroda *1, Yoshihisa

More information

Characterization and improvement of unpatterned wafer defect review on SEMs

Characterization and improvement of unpatterned wafer defect review on SEMs Characterization and improvement of unpatterned wafer defect review on SEMs Alan S. Parkes *, Zane Marek ** JEOL USA, Inc. 11 Dearborn Road, Peabody, MA 01960 ABSTRACT Defect Scatter Analysis (DSA) provides

More information

RADIOGRAPHIC PERFORMANCE OF CYGNUS 1 AND THE FEBETRON 705

RADIOGRAPHIC PERFORMANCE OF CYGNUS 1 AND THE FEBETRON 705 RADIOGRAPHIC PERFORMANCE OF CYGNUS 1 AND THE FEBETRON 705 E. Rose ξ, R. Carlson, J. Smith Los Alamos National Laboratory, PO Box 1663, Mail Stop P-947 Los Alamos, NM 87545, USA Abstract Spot sizes are

More information

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs, Zhongda Li, Robert Karlicek and T. Paul Chow Smart Lighting Engineering Research Center Rensselaer Polytechnic Institute, Troy,

More information

The hybrid photon detectors for the LHCb-RICH counters

The hybrid photon detectors for the LHCb-RICH counters 7 th International Conference on Advanced Technology and Particle Physics The hybrid photon detectors for the LHCb-RICH counters Maria Girone, CERN and Imperial College on behalf of the LHCb-RICH group

More information

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders Beatrice Beyer Figure 1. (OLED) microdisplay with a screen diagonal of 16 mm. Figure 2. CMOS cross section with OLED on top. Usually as small as fingernails, but of very high resolution Optical system

More information

I. Introduction. II. Problem

I. Introduction. II. Problem Wiring Deformable Mirrors for Curvature Adaptive Optics Systems Joshua Shiode Boston University, IfA REU 2005 Sarah Cook University of Hawaii, IfA REU 2005 Mentor: Christ Ftaclas Institute for Astronomy,

More information

INSTRUMENT CATHODE-RAY TUBE

INSTRUMENT CATHODE-RAY TUBE Instrument cathode-ray tube D14-363GY/123 INSTRUMENT CATHODE-RAY TUBE mono accelerator 14 cm diagonal rectangular flat face internal graticule low power quick heating cathode high brightness, long-life

More information

Applications. l Image input devices l Optical sensing devices

Applications. l Image input devices l Optical sensing devices IMAGE SENSOR CMOS linear image sensor S8377/S8378 series Built-in timing generator and signal processing circuit; single 5 V supply operation S8377/S8378 series is a family of CMOS linear image sensors

More information

Failure Analysis Technology for Advanced Devices

Failure Analysis Technology for Advanced Devices ISHIYAMA Toshio, WADA Shinichi, KUZUMI Hajime, IDE Takashi Abstract The sophistication of functions, miniaturization and reduced weight of household appliances and various devices have been accelerating

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes

Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes Carl Taussig, Richard E. Elder, Warren B. Jackson, Albert Jeans, Mehrban Jam, Ed Holland, Hao Luo, John Maltabes, Craig Perlov,

More information

Focused-ion-beam fabrication of nanoplasmonic devices

Focused-ion-beam fabrication of nanoplasmonic devices Focused-ion-beam fabrication of nanoplasmonic devices H. J. Lezec Center for Nanoscale Science and Technology, NIST, Gaithersburg MD, USA.. Outline 1) Plasmon-induced negative refraction at visible frequencies

More information

Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector.

Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector. Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector. INFN Genova: R.Beccherle, G.Darbo, G.Gagliardi, C.Gemme, P.Netchaeva, P.Oppizzi, L.Rossi, E.Ruscino, F.Vernocchi Lawrence Berkeley National

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

Layout Decompression Chip for Maskless Lithography

Layout Decompression Chip for Maskless Lithography Layout Decompression Chip for Maskless Lithography Borivoje Nikolić, Ben Wild, Vito Dai, Yashesh Shroff, Benjamin Warlick, Avideh Zakhor, William G. Oldham Department of Electrical Engineering and Computer

More information

Tutorial: Trak design of an electron injector for a coupled-cavity linear accelerator

Tutorial: Trak design of an electron injector for a coupled-cavity linear accelerator Tutorial: Trak design of an electron injector for a coupled-cavity linear accelerator Stanley Humphries, Copyright 2012 Field Precision PO Box 13595, Albuquerque, NM 87192 U.S.A. Telephone: +1-505-220-3975

More information