SELSE ASAR: Applica+on-Specific Approximate Recovery to Mi+gate Hardware Variability. Presenter: Manish Gupta

Size: px
Start display at page:

Download "SELSE ASAR: Applica+on-Specific Approximate Recovery to Mi+gate Hardware Variability. Presenter: Manish Gupta"

Transcription

1 SELSE 2017 ASAR: Applica+on-Specific Approximate Recovery to Mi+gate Hardware Variability Presenter: Manish Gupta Collaborators: Abbas Rahimi, Daniel Lowell, John Kalama9anos, Advisors: Dean Tullsen, Rajesh Gupta 1

2 Variability Greater Performance Lower Power Higher Varia+ons Process Aging Temperature Vcc Droops 2

3 Mi+ga+ng Variability Effects Detect Errors in Hardware Ignore Fix 3

4 Today Detect Errors in Hardware Ignore Fix Today, we detect and fix in hardware. Using hardware error recovery. 4

5 This Work Detect Errors in Hardware Ignore (Discard) SoUware Fix (Rerun) In this work, we champion sopware recovery [1]. [1] Relax: An Architectural Framework for SoUware Recovery of Hardware Faults, ISCA

6 Ignore (Discard) vs. Fix (Rerun) Detect Errors in Hardware Ignore (Discard) SoUware Fix (Rerun) Discard may lead to unacceptable output quality. Rerun suffers from high recovery overhead. 6

7 Outline Variability Problem Hardware/SoUware Recovery SoUware Recovery (Rerun or Discard) [Relax ISCA 10] Emerging Approximate Applica9ons ASAR: Applica9on Specific Approximate Recovery Hybrid Recovery (ASAR + Rerun) Summary 7

8 Emerging Approximate Applica+ons Data-intensive Scien9fic-Compu9ng Vision & media 8

9 Approximate Recovery Detect Errors in Hardware Ignore (Discard) Approximate Recovery SoUware Fix (Rerun) ASAR: Applica9on-Specific Approximate Recovery - Worst output quality - Best recovery performance - Good output quality - Good recovery performance - Best output quality - Worst recovery performance 9

10 HW/SW Organiza+on Main contribu9ons of this work is SW-based recovery using: 1) Rerun 3) ASAR (Approx. recovery) 2) Discard 4) Hybrid (Rerun + ASAR) SoUware Hardware Cri9cal Try { Non-cri9cal } Non-cri9cal Catch { SW recovery } HW error detec9on [2, 3] Safe mode Unsafe mode [1] Expose HW-error info. [1] Truffles: Architecture support for disciplined approximate programming. H. Esmailzadeh, A. Sampson, L. Ceze, D. Burger. In ASPLOS 12 [2] Argus: Low-cost comprehensive error detec9on in simple cores. A. Meixner, M. E. Bauer, and D. J. Sorin. In MICRO 2008 [3] Relax: An Architectural Framework for SoUware Recovery of Hardware Faults M. Kruijf, S. Nomura, K. Sankralingam. In ISCA 10 10

11 ASAR: Applica+on-Specific Approximate Recovery ASAR Interpolate 1 ASAR Sampling 2 ASAR Reuse 3 1. P. Whatmough, S. Das, and D. Bull. Hybrid circuit and algorithmic 9ming error correc9on for low-power robust dsp accelerators A-SSCC, S. Agarwal BlinkDB: Queries with Bounded Errors and Bounded Response Times on Very Large Data EuroSys Y. Ding et al., A Compiler Scheme for Reusing Intermediate Computa9on Result CGO,

12 Try-Catch Refactoring: ASAR Reuse K-means for N points [P1, P2,,PN]. Assign the closest of M center [C1, C2,.., CM]. Cri9cal Try { Try { Non-cri9cal } } Catch Catch { ASAR { ASAR Reuse} Reuse} Next, two ques9ons we explore for all applica9on are: 1) The dynamic ra9o of Cri9cal vs. Noncri9cal code. 2) Absolute performance gain of using approximate recovery over rerun. In each itera+on For Pi in [P1,..,PN]{ Try { dmin = INT_MAX; for c in [C1, C2,.., CM]: d = distance(pi, c); if (d < dmin) { Cen[Pi] = c; ReuseBuf[Pi] = c; } } Catch { Cen[Pi] = ReuseBuff[Pi] } } //for pi in [P1,..,PN] 12

13 Answering 1) and 2) 1) Cri9cal vs. Non-cri9cal 2) Catch { ASAR } Catch { Rerun } 13

14 Evalua+on Six applica9on from various domains. SW-based using 1) rerun, 2) discard, 3) ASAR, and 4) hybrid (ASAR + Rerun) recovery. Random fault-injec9on simulated. Finally, we measure cpu cycles spent in cri9cal, non-cri9cal, and recovery code regions. 14

15 ASAR vs. Rerun 15

16 K-Means 16

17 K-Means 17

18 K-Means 18

19 ASAR vs. Rerun 19

20 Hybrid (ASAR + Rerun) Recovery ASAR only provides one addi9onal opera9ng point. Only approximate recovery could degrade below user acceptable level. Hybrid recovery to explore performance-quality at a finergranularity and allow user to specify desired output quality. Performance Training Input [fi, rj] Check (QoS obs > QoS thd) Discard ASAR Rerun Output quality (QoS) QoS Model Genera*on Try { non-cri)cal} Catch{ 1. ASAR 2. Rerun } Hybrid Recovery QoS Model U*liza*on QoS mod (fi,rj):qos QoS thd Test Input 20

21 Hybrid (ASAR + Rerun) Recovery 21

22 K-means 22

23 K-means 23

24 K-means 24

25 Hybrid (ASAR + Rerun) Recovery 25

26 Summary We propose ASAR, applica9on-specific approximate recovery. To guarantee the output acceptability and explore performance-quality curve at a finer-granularity we also propose a hybrid recovery mechanism. We show that, depending on the applica9on characteris9cs, approximate recovery can reduce recovery overhead and improve output quality rela9ve to rerun and discard, respec9vely. 26

27 Thanks! 27

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Impact of Intermittent Faults on Nanocomputing Devices

Impact of Intermittent Faults on Nanocomputing Devices Impact of Intermittent Faults on Nanocomputing Devices Cristian Constantinescu June 28th, 2007 Dependable Systems and Networks Outline Fault classes Permanent faults Transient faults Intermittent faults

More information

Hybrid Discrete-Continuous Computer Architectures for Post-Moore s-law Era

Hybrid Discrete-Continuous Computer Architectures for Post-Moore s-law Era Hybrid Discrete-Continuous Computer Architectures for Post-Moore s-law Era Keynote at the Bi annual HiPEAC Compu6ng Systems Week Mee6ng Barcelona, Spain October 19 th 2010 Prof. Simha Sethumadhavan Columbia

More information

RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION

RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION RAZOR: CIRCUIT-LEVEL CORRECTION OF TIMING ERRORS FOR LOW-POWER OPERATION Shohaib Aboobacker TU München 22 nd March 2011 Based on Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation Dan

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

This Review: the Charge

This Review: the Charge The Commi)ee is asked to review whether the design of the project will be able to support the design performance and whether the engineering design of the project, including all technical subsystems, is

More information

ADOSE DELIVERABLE D6.9; PUBLIC SUMMARY SRS Testing of components and subsystems

ADOSE DELIVERABLE D6.9; PUBLIC SUMMARY SRS Testing of components and subsystems RELIABLE APPLICATION SPECIFIC DETECTION OF ROAD USERS WITH VEHICLE ON-BOARD SENSORS ADOSE DELIVERABLE D6.9; PUBLIC SUMMARY SRS Testing of components and subsystems Issued by: AIT Austrian Institute of

More information

Design for Testability Part II

Design for Testability Part II Design for Testability Part II 1 Partial-Scan Definition A subset of flip-flops is scanned. Objectives: Minimize area overhead and scan sequence length, yet achieve required fault coverage. Exclude selected

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

100Gb/s Single-lane SERDES Discussion. Phil Sun, Credo Semiconductor IEEE New Ethernet Applications Ad Hoc May 24, 2017

100Gb/s Single-lane SERDES Discussion. Phil Sun, Credo Semiconductor IEEE New Ethernet Applications Ad Hoc May 24, 2017 100Gb/s Single-lane SERDES Discussion Phil Sun, Credo Semiconductor IEEE 802.3 New Ethernet Applications Ad Hoc May 24, 2017 Introduction This contribution tries to share thoughts on 100Gb/s single-lane

More information

Sequencing and Control

Sequencing and Control Sequencing and Control Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Spring, 2016 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Source:

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

HiPAcc-LTE: An Integrated High Performance Accelerator for 3GPP LTE Stream Ciphers

HiPAcc-LTE: An Integrated High Performance Accelerator for 3GPP LTE Stream Ciphers HiPAcc-LTE: An Integrated High Performance Accelerator for 3GPP LTE Stream Ciphers Sourav Sen Gupta1, Anupam Chattopadhyay2, Ayesha Khalid2 1. Applied Statistics Unit, Indian Statistical Institute, Kolkata,

More information

Performance Driven Reliable Link Design for Network on Chips

Performance Driven Reliable Link Design for Network on Chips Performance Driven Reliable Link Design for Network on Chips Rutuparna Tamhankar Srinivasan Murali Prof. Giovanni De Micheli Stanford University Outline Introduction Objective Logic design and implementation

More information

Outline. 1 Reiteration. 2 Dynamic scheduling - Tomasulo. 3 Superscalar, VLIW. 4 Speculation. 5 ILP limitations. 6 What we have done so far.

Outline. 1 Reiteration. 2 Dynamic scheduling - Tomasulo. 3 Superscalar, VLIW. 4 Speculation. 5 ILP limitations. 6 What we have done so far. Outline 1 Reiteration Lecture 5: EIT090 Computer Architecture 2 Dynamic scheduling - Tomasulo Anders Ardö 3 Superscalar, VLIW EIT Electrical and Information Technology, Lund University Sept. 30, 2009 4

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 5: Built-in Self Test (I) Instructor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 5 1 Outline Introduction (Lecture 5) Test Pattern Generation (Lecture 5) Pseudo-Random

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

MEMORY ERROR COMPENSATION TECHNIQUES FOR JPEG2000. Yunus Emre and Chaitali Chakrabarti

MEMORY ERROR COMPENSATION TECHNIQUES FOR JPEG2000. Yunus Emre and Chaitali Chakrabarti MEMORY ERROR COMPENSATION TECHNIQUES FOR JPEG2000 Yunus Emre and Chaitali Chakrabarti School of Electrical, Computer and Energy Engineering Arizona State University, Tempe, AZ 85287 {yemre,chaitali}@asu.edu

More information

Using the MAX3656 Laser Driver to Transmit Serial Digital Video with Pathological Patterns

Using the MAX3656 Laser Driver to Transmit Serial Digital Video with Pathological Patterns Design Note: HFDN-33.0 Rev 0, 8/04 Using the MAX3656 Laser Driver to Transmit Serial Digital Video with Pathological Patterns MAXIM High-Frequency/Fiber Communications Group AVAILABLE 6hfdn33.doc Using

More information

RedEye Analog ConvNet Image Sensor Architecture for Continuous Mobile Vision

RedEye Analog ConvNet Image Sensor Architecture for Continuous Mobile Vision Analog ConvNet Image Sensor Architecture for Continuous Mobile Vision Robert LiKamWa Yunhui Hou Yuan Gao Mia Polansky Lin Zhong roblkw@rice.edu houyh@rice.edu yg18@rice.edu mia.polansky@rice.edu lzhong@rice.edu

More information

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Tutorial, September 1, 2015 Byoungho Kim, Ph.D. Division of Electrical Engineering Hanyang University Outline State of the Art for

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

Previous Lecture Sequential Circuits. Slide Summary of contents covered in this lecture. (Refer Slide Time: 01:55)

Previous Lecture Sequential Circuits. Slide Summary of contents covered in this lecture. (Refer Slide Time: 01:55) Previous Lecture Sequential Circuits Digital VLSI System Design Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras Lecture No 7 Sequential Circuit Design Slide

More information

Data Converters and DSPs Getting Closer to Sensors

Data Converters and DSPs Getting Closer to Sensors Data Converters and DSPs Getting Closer to Sensors As the data converters used in military applications must operate faster and at greater resolution, the digital domain is moving closer to the antenna/sensor

More information

VLSI Chip Design Project TSEK06

VLSI Chip Design Project TSEK06 VLSI Chip Design Project TSEK06 Project Description and Requirement Specification Version 1.1 Project: High Speed Serial Link Transceiver Project number: 4 Project Group: Name Project members Telephone

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

Amdahl s Law in the Multicore Era

Amdahl s Law in the Multicore Era Amdahl s Law in the Multicore Era Mark D. Hill and Michael R. Marty University of Wisconsin Madison August 2008 @ Semiahmoo Workshop IBM s Dr. Thomas Puzak: Everyone knows Amdahl s Law 2008 Multifacet

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

Small PMT design. ! Design Concept! Design Implementa6on! On site test report! Engineering Array Test Plan! Design status

Small PMT design. ! Design Concept! Design Implementa6on! On site test report! Engineering Array Test Plan! Design status Small PMT design C. Morello for the Torino group! Design Concept! Design Implementa6on! On site test report! Engineering Array Test Plan! Design status 1 Design Concept Extension of the WCD dynamic range

More information

Motion Re-estimation for MPEG-2 to MPEG-4 Simple Profile Transcoding. Abstract. I. Introduction

Motion Re-estimation for MPEG-2 to MPEG-4 Simple Profile Transcoding. Abstract. I. Introduction Motion Re-estimation for MPEG-2 to MPEG-4 Simple Profile Transcoding Jun Xin, Ming-Ting Sun*, and Kangwook Chun** *Department of Electrical Engineering, University of Washington **Samsung Electronics Co.

More information

Upgrading a FIR Compiler v3.1.x Design to v3.2.x

Upgrading a FIR Compiler v3.1.x Design to v3.2.x Upgrading a FIR Compiler v3.1.x Design to v3.2.x May 2005, ver. 1.0 Application Note 387 Introduction This application note is intended for designers who have an FPGA design that uses the Altera FIR Compiler

More information

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT.

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT. An Advanced and Area Optimized L.U.T Design using A.P.C. and O.M.S K.Sreelakshmi, A.Srinivasa Rao Department of Electronics and Communication Engineering Nimra College of Engineering and Technology Krishna

More information

SWITCH: Microcontroller Touch-switch Design & Test (Part 2)

SWITCH: Microcontroller Touch-switch Design & Test (Part 2) SWITCH: Microcontroller Touch-switch Design & Test (Part 2) 2 nd Year Electronics Lab IMPERIAL COLLEGE LONDON v2.09 Table of Contents Equipment... 2 Aims... 2 Objectives... 2 Recommended Timetable... 2

More information

Remote Diagnostics and Upgrades

Remote Diagnostics and Upgrades Remote Diagnostics and Upgrades Tim Pender -Eastman Kodak Company 10/03/03 About this Presentation Motivation for Remote Diagnostics Reduce Field Maintenance costs Product needed to support 100 JTAG chains

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

Tools to Debug Dead Boards

Tools to Debug Dead Boards Tools to Debug Dead Boards Hardware Prototype Bring-up Ryan Jones Senior Application Engineer Corelis 1 Boundary-Scan Without Boundaries click to start the show Webinar Outline What is a Dead Board? Prototype

More information

Highly Accelerated Stress Screening of the Atlas Liquid Argon Calorimeter Front End Boards

Highly Accelerated Stress Screening of the Atlas Liquid Argon Calorimeter Front End Boards Highly Accelerated Stress Screening of the Atlas Liquid Argon Calorimeter Front End Boards K. Benslama, G. Brooijmans, C.-Y. Chi, D. Dannheim, I. Katsanos, J. Parsons, S. Simion Nevis Labs, Columbia University

More information

Lecture 11: Sequential Circuit Design

Lecture 11: Sequential Circuit Design Lecture 11: Sequential Circuit esign Outline q Sequencing q Sequencing Element esign q Max and Min-elay q Clock Skew q Time Borrowing q Two-Phase Clocking 2 Sequencing q Combinational logic output depends

More information

Hardware Implementation of Viterbi Decoder for Wireless Applications

Hardware Implementation of Viterbi Decoder for Wireless Applications Hardware Implementation of Viterbi Decoder for Wireless Applications Bhupendra Singh 1, Sanjeev Agarwal 2 and Tarun Varma 3 Deptt. of Electronics and Communication Engineering, 1 Amity School of Engineering

More information

Sequential Logic. Introduction to Computer Yung-Yu Chuang

Sequential Logic. Introduction to Computer Yung-Yu Chuang Sequential Logic Introduction to Computer Yung-Yu Chuang with slides by Sedgewick & Wayne (introcs.cs.princeton.edu), Nisan & Schocken (www.nand2tetris.org) and Harris & Harris (DDCA) Review of Combinational

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

Instruction Level Parallelism Part III

Instruction Level Parallelism Part III Course on: Advanced Computer Architectures Instruction Level Parallelism Part III Prof. Cristina Silvano Politecnico di Milano email: cristina.silvano@polimi.it 1 Outline of Part III Dynamic Scheduling

More information

I. INTRODUCTION. S Ramkumar. D Punitha

I. INTRODUCTION. S Ramkumar. D Punitha Efficient Test Pattern Generator for BIST Using Multiple Single Input Change Vectors D Punitha Master of Engineering VLSI Design Sethu Institute of Technology Kariapatti, Tamilnadu, 626106 India punithasuresh3555@gmail.com

More information

CacheCompress A Novel Approach for Test Data Compression with cache for IP cores

CacheCompress A Novel Approach for Test Data Compression with cache for IP cores CacheCompress A Novel Approach for Test Data Compression with cache for IP cores Hao Fang ( 方昊 ) fanghao@mprc.pku.edu.cn Rizhao, ICDFN 07 20/08/2007 To be appeared in ICCAD 07 Sections Introduction Our

More information

Test-Pattern Compression & Test-Response Compaction. Mango Chia-Tso Chao ( 趙家佐 ) EE, NCTU, Hsinchu Taiwan

Test-Pattern Compression & Test-Response Compaction. Mango Chia-Tso Chao ( 趙家佐 ) EE, NCTU, Hsinchu Taiwan Test-Pattern Compression & Test-Response Compaction Mango Chia-Tso Chao ( 趙家佐 ) EE, NCTU, Hsinchu Taiwan Outline Introduction to Scan-based Testing Input-Pattern Compression Type of compressions Compression

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Instruction Level Parallelism Part III

Instruction Level Parallelism Part III Course on: Advanced Computer Architectures Instruction Level Parallelism Part III Prof. Cristina Silvano Politecnico di Milano email: cristina.silvano@polimi.it 1 Outline of Part III Tomasulo Dynamic Scheduling

More information

Decision-Maker Preference Modeling in Interactive Multiobjective Optimization

Decision-Maker Preference Modeling in Interactive Multiobjective Optimization Decision-Maker Preference Modeling in Interactive Multiobjective Optimization 7th International Conference on Evolutionary Multi-Criterion Optimization Introduction This work presents the results of the

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

Chapter 1. Introduction to Digital Signal Processing

Chapter 1. Introduction to Digital Signal Processing Chapter 1 Introduction to Digital Signal Processing 1. Introduction Signal processing is a discipline concerned with the acquisition, representation, manipulation, and transformation of signals required

More information

A New Low Energy BIST Using A Statistical Code

A New Low Energy BIST Using A Statistical Code A New Low Energy BIST Using A Statistical Code Sunghoon Chun, Taejin Kim and Sungho Kang Department of Electrical and Electronic Engineering Yonsei University 134 Shinchon-dong Seodaemoon-gu, Seoul, Korea

More information

New Techniques for Designing and Analyzing Multi-GigaHertz Serial Links

New Techniques for Designing and Analyzing Multi-GigaHertz Serial Links New Techniques for Designing and Analyzing Multi-GigaHertz Serial Links Min Wang, Intel Henri Maramis, Intel Donald Telian, Cadence Kevin Chung, Cadence 1 Agenda 1. Wide Eyes and More Bits 2. Interconnect

More information

In this lecture we will work through a design example from problem statement to digital circuits.

In this lecture we will work through a design example from problem statement to digital circuits. Lecture : A Design Example - Traffic Lights In this lecture we will work through a design example from problem statement to digital circuits. The Problem: The traffic department is trying out a new system

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

CHAPTER 8 CONCLUSION AND FUTURE SCOPE

CHAPTER 8 CONCLUSION AND FUTURE SCOPE 124 CHAPTER 8 CONCLUSION AND FUTURE SCOPE Data hiding is becoming one of the most rapidly advancing techniques the field of research especially with increase in technological advancements in internet and

More information

Film Grain Technology

Film Grain Technology Film Grain Technology Hollywood Post Alliance February 2006 Jeff Cooper jeff.cooper@thomson.net What is Film Grain? Film grain results from the physical granularity of the photographic emulsion Film grain

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems A day of Misc. Topics Mark Brehob University of Michigan Lecture 12: Finish up Analog and Digital converters Finish design rules Quick discussion of MMIO

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 7: Built-in Self Test (III) Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture 7 BIST Architectures Copyright 206, M. Tahoori TDS II: Lecture 7 2 Lecture

More information

Controlling adaptive resampling

Controlling adaptive resampling Controlling adaptive resampling Fons ADRIAENSEN, Casa della Musica, Pzle. San Francesco 1, 43000 Parma (PR), Italy, fons@linuxaudio.org Abstract Combining audio components that use incoherent sample clocks

More information

Access technologies integration to meet the requirements of 5G networks and beyond

Access technologies integration to meet the requirements of 5G networks and beyond Access technologies integration to meet the requirements of 5G networks and beyond Alexis Dowhuszko 1, Musbah Shaat 1, Xavier Artigas 1, and Ana Pérez-Neira 1,2 1 Centre Tecnològic de Telecomunicacions

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Using Scan Side Channel to Detect IP Theft

Using Scan Side Channel to Detect IP Theft Using Scan Side Channel to Detect IP Theft Leonid Azriel, Ran Ginosar, Avi Mendelson Technion Israel Institute of Technology Shay Gueron, University of Haifa and Intel Israel 1 Outline IP theft issue in

More information

FPGA Implementation of DA Algritm for Fir Filter

FPGA Implementation of DA Algritm for Fir Filter International Journal of Computational Engineering Research Vol, 03 Issue, 8 FPGA Implementation of DA Algritm for Fir Filter 1, Solmanraju Putta, 2, J Kishore, 3, P. Suresh 1, M.Tech student,assoc. Prof.,Professor

More information

Arithmetic Unit Based Reconfigurable Approximation Technique for Video Encoding

Arithmetic Unit Based Reconfigurable Approximation Technique for Video Encoding Arithmetic Unit Based Reconfigurable Approximation Technique for Video Encoding J.Jayakodi 1*, K.Sagadevan 2 1 ECE (Final year) IFET college of engineering, India. 2 Senior Assistant Professor, Department

More information

Source/Receiver (SR) Setup

Source/Receiver (SR) Setup PS User Guide Series 2015 Source/Receiver (SR) Setup For 1-D and 2-D Vs Profiling Prepared By Choon B. Park, Ph.D. January 2015 Table of Contents Page 1. Overview 2 2. Source/Receiver (SR) Setup Main Menu

More information

PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS

PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS Application Note ABSTRACT... 3 KEYWORDS... 3 I. INTRODUCTION... 4 II. TIMING SIGNALS USAGE AND APPLICATION... 5 III. FEATURES AND

More information

Verification Methodology for a Complex System-on-a-Chip

Verification Methodology for a Complex System-on-a-Chip UDC 621.3.049.771.14.001.63 Verification Methodology for a Complex System-on-a-Chip VAkihiro Higashi VKazuhide Tamaki VTakayuki Sasaki (Manuscript received December 1, 1999) Semiconductor technology has

More information

Linköping University Post Print. Quasi-Static Voltage Scaling for Energy Minimization with Time Constraints

Linköping University Post Print. Quasi-Static Voltage Scaling for Energy Minimization with Time Constraints Linköping University Post Print Quasi-Static Voltage Scaling for Energy Minimization with Time Constraints Alexandru Andrei, Petru Ion Eles, Olivera Jovanovic, Marcus Schmitz, Jens Ogniewski and Zebo Peng

More information

Logic Design ( Part 3) Sequential Logic- Finite State Machines (Chapter 3)

Logic Design ( Part 3) Sequential Logic- Finite State Machines (Chapter 3) Logic esign ( Part ) Sequential Logic- Finite State Machines (Chapter ) Based on slides McGraw-Hill Additional material 00/00/006 Lewis/Martin Additional material 008 Roth Additional material 00 Taylor

More information

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic. 1. CLOCK MUXING: With more and more multi-frequency clocks being used in today's chips, especially in the communications field, it is often necessary to switch the source of a clock line while the chip

More information

INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR NPTEL ONLINE CERTIFICATION COURSE. On Industrial Automation and Control

INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR NPTEL ONLINE CERTIFICATION COURSE. On Industrial Automation and Control INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR NPTEL ONLINE CERTIFICATION COURSE On Industrial Automation and Control By Prof. S. Mukhopadhyay Department of Electrical Engineering IIT Kharagpur Topic Lecture

More information

Scalability of MB-level Parallelism for H.264 Decoding

Scalability of MB-level Parallelism for H.264 Decoding Scalability of Macroblock-level Parallelism for H.264 Decoding Mauricio Alvarez Mesa 1, Alex Ramírez 1,2, Mateo Valero 1,2, Arnaldo Azevedo 3, Cor Meenderinck 3, Ben Juurlink 3 1 Universitat Politècnica

More information

DESIGNING AN ECU CPU FOR RADIATION ENVIRONMENT. Matthew G. M. Yee College of Engineering University of Hawai`i at Mānoa Honolulu, HI ABSTRACT

DESIGNING AN ECU CPU FOR RADIATION ENVIRONMENT. Matthew G. M. Yee College of Engineering University of Hawai`i at Mānoa Honolulu, HI ABSTRACT DESIGNING AN ECU CPU FOR RADIATION ENVIRONMENT Matthew G. M. Yee College of Engineering University of Hawai`i at Mānoa Honolulu, HI 96822 ABSTRACT NASA s objective is to colonize the planet Mars, for the

More information

Built-In Proactive Tuning System for Circuit Aging Resilience

Built-In Proactive Tuning System for Circuit Aging Resilience IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems Built-In Proactive Tuning System for Circuit Aging Resilience Nimay Shah 1, Rupak Samanta 1, Ming Zhang 2, Jiang Hu 1, Duncan

More information

DART Tutorial Sec'on 18: Lost in Phase Space: The Challenge of Not Knowing the Truth.

DART Tutorial Sec'on 18: Lost in Phase Space: The Challenge of Not Knowing the Truth. DART Tutorial Sec'on 18: Lost in Phase Space: The Challenge of Not Knowing the Truth. UCAR 214 The Na'onal Center for Atmospheric Research is sponsored by the Na'onal Science Founda'on. Any opinions, findings

More information

EE 447/547 VLSI Design. Lecture 9: Sequential Circuits. VLSI Design EE 447/547 Sequential circuits 1

EE 447/547 VLSI Design. Lecture 9: Sequential Circuits. VLSI Design EE 447/547 Sequential circuits 1 EE 447/547 VLSI esign Lecture 9: Sequential Circuits Sequential circuits 1 Outline Floorplanning Sequencing Sequencing Element esign Max and Min-elay Clock Skew Time Borrowing Two-Phase Clocking Sequential

More information

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Digital Circuits Testing Based on Pattern Overlapping and Broadcasting by Ing. Martin Chloupek A dissertation

More information

into a Cognitive Architecture

into a Cognitive Architecture Multi-representational Architectures: Incorporating Visual Imagery into a Cognitive Architecture Soar Visual Imagery (SVI) 27 th SOAR WORKSHOP Scott Lathrop John Laird OUTLINE REVIEW CURRENT ARCHITECTURE

More information

Joint Optimization of Source-Channel Video Coding Using the H.264/AVC encoder and FEC Codes. Digital Signal and Image Processing Lab

Joint Optimization of Source-Channel Video Coding Using the H.264/AVC encoder and FEC Codes. Digital Signal and Image Processing Lab Joint Optimization of Source-Channel Video Coding Using the H.264/AVC encoder and FEC Codes Digital Signal and Image Processing Lab Simone Milani Ph.D. student simone.milani@dei.unipd.it, Summer School

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

Measuring Radio Network Performance

Measuring Radio Network Performance Measuring Radio Network Performance Gunnar Heikkilä AWARE Advanced Wireless Algorithm Research & Experiments Radio Network Performance, Ericsson Research EN/FAD 109 0015 Düsseldorf (outside) Düsseldorf

More information

A video signal processor for motioncompensated field-rate upconversion in consumer television

A video signal processor for motioncompensated field-rate upconversion in consumer television A video signal processor for motioncompensated field-rate upconversion in consumer television B. De Loore, P. Lippens, P. Eeckhout, H. Huijgen, A. Löning, B. McSweeney, M. Verstraelen, B. Pham, G. de Haan,

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

Introduction. Packet Loss Recovery for Streaming Video. Introduction (2) Outline. Problem Description. Model (Outline)

Introduction. Packet Loss Recovery for Streaming Video. Introduction (2) Outline. Problem Description. Model (Outline) Packet Loss Recovery for Streaming Video N. Feamster and H. Balakrishnan MIT In Workshop on Packet Video (PV) Pittsburg, April 2002 Introduction (1) Streaming is growing Commercial streaming successful

More information

Testing of Cryptographic Hardware

Testing of Cryptographic Hardware Testing of Cryptographic Hardware Presented by: Debdeep Mukhopadhyay Dept of Computer Science and Engineering, Indian Institute of Technology Madras Motivation Behind the Work VLSI of Cryptosystems have

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

SoC IC Basics. COE838: Systems on Chip Design

SoC IC Basics. COE838: Systems on Chip Design SoC IC Basics COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University Overview SoC

More information

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 2, Issue 5, July 2015, PP 1-7 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org An Application

More information

Lecture 10: Sequential Circuits

Lecture 10: Sequential Circuits Introduction to CMOS VLSI esign Lecture 10: Sequential Circuits avid Harris Harvey Mudd College Spring 2004 1 Outline Floorplanning Sequencing Sequencing Element esign Max and Min-elay Clock Skew Time

More information

Overview of ITU-R BS.1534 (The MUSHRA Method)

Overview of ITU-R BS.1534 (The MUSHRA Method) Overview of ITU-R BS.1534 (The MUSHRA Method) Dr. Gilbert Soulodre Advanced Audio Systems Communications Research Centre Ottawa, Canada gilbert.soulodre@crc.ca 1 Recommendation ITU-R BS.1534 Method for

More information

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Future of Analog Design and Upcoming Challenges in Nanometer CMOS Future of Analog Design and Upcoming Challenges in Nanometer CMOS Greg Taylor VLSI Design 2010 Outline Introduction Logic processing trends Analog design trends Analog design challenge Approaches Conclusion

More information

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog 1 Manish J Patel, 2 Nehal Parmar, 3 Vishwas Chaudhari 1, 2, 3 PG Students (VLSI & ESD) Gujarat Technological

More information

Design on CIC interpolator in Model Simulator

Design on CIC interpolator in Model Simulator Design on CIC interpolator in Model Simulator Manjunathachari k.b 1, Divya Prabha 2, Dr. M Z Kurian 3 M.Tech [VLSI], Sri Siddhartha Institute of Technology, Tumkur, Karnataka, India 1 Asst. Professor,

More information