Size: px
Start display at page:

Download ""

Transcription

1 SUBJECT NAME : DIGITAL ELECTRONICS SUBJECT CODE : EC State Demorgan s Theorem. QUESTION BANK PART A UNIT - I DIGITAL FUNDAMENTALS De Morgan suggested two theorems that form important part of Boolean algebra. They are, i. The complement of a product is equal to the sum of the complements. (AB)' = A' + B' ii. The complement of a sum term is equal to the product of the complements. (A + B)' = A'B' 2. Implement using NAND gates only, F = x y z + x y.

2 3. What are Don t care terms? In some logic circuits certain input conditions never occur, therefore the corresponding output never appears. In such cases the output level is not defined, it can be either high or low. These output levels are indicated by X or d in the truth tables and are called don t care conditions or incompletely specified functions. 4. Apply De-Morgan s theorem to [ (A+B) + C ]. Given [(A+B)+C] = (A+B).C = (A.B ).C [(A+B)+C] = A B C 5. Convert 0.35 to equivalent hexadecimal number. Given (0.35) 10 =0.35 x 16=5.60 =0.60 x 16=9.60 =0.60 x 16=9.60 (0.35) 10 = (0.599) Convert Y=A+BC +AB+A BC into canonical form. Given Y=A+BC +AB+A BC Y=A(B+B )(C+C )+(A+A )BC +AB(C+C )+A BC Y=ABC+ABC +AB C+AB C +ABC +A BC +ABC+ABC +A BC Y=ABC+ABC +AB C+AB C +A BC +A BC 7. Define min term and max term. A product term containing all the variables of the function in either complemented or uncomplemented form is called a min term. A sum term containing all the variables of the function in either complemented or uncomplemented form is called a max term. 8. Prove that the logical sum of all min terms of a Boolean function of 2 variables is 1. Consider two variables as A and B. For two variables A and B minterms are: A B,A B,AB,AB. The logical sum of these minterms are given by F= A B +A B+AB +AB = A (B +B)+A(B +B) (B +B=1) = A (1)+A(1) (A +A=1) F=1 Hence it is to be proved.

3 9. Show that a positive logic NAND gate is a negative logic NOR gate. Truth table for positive logic NAND gate and negative logic NOR gates are same and hence a positive logic NAND gate is negative logic NOR gate. 10. Simplify the following Boolean Expression to a minimum number of literals. (BC +A D)(AB +CD ) F=(BC +A D)(AB +CD ) =BC AB +BC CD +A DAB +A DCD (A.A =0) = AB B C +BCC D +AA B D+A CDD F=0 11. Simplify the given Boolean Expression F=x +xy+xz +xy z. F=x +xy+xz +xy z = x +x(y+z +y z ) (A+A B=A+B) = x +y+z +y z = x +y+z (1+y ) (1+A =1) F = x +y+z

4 12. Implement the given function using NAND gates F(x,y,z)= Σm(0,6). F(x,y,z)=x y z +xyz 13. State Distributive Law. Distributive law of dot(.) over plus(+) is given by a.(b+c) = a.b + a.c Distributive law of plus(+) over dot(.) is given by a+b.c = (a+b).(a+c) 14. What is Prime Implicant? A prime implicant is a group of minterms which cannot be combined with any other minterms or groups. 15. Simplify the following Boolean expression into one literal. W X(Z +YZ)+X(W+ Y Z) F= W X(Z +YZ)+X(W+ Y Z) = W XZ +W XYZ+WX+XY Z =X(W Z +W YZ+W+Y Z) = X(W Z +W+Z(Y +W Y)) = X(W Z +W+Z(Y + Y )( Y +W )) = X(W Z +W+Z( Y +W )) = X(W Z +W+ZY +W Z) = X(W (Z +Z)+W+ZY ) = X(W +W+ZY ) = X(1+ZY )=X.1 F =X

5 UNIT - 2 COMBINATIONAL CIRCUIT DESIGN 1 Write an expression for borrow and difference in a full subtractor circuit. Difference = A B+AB =A B Borrow = A B 2 Design a single bit magnitude comparator to compare two words A and B. 3 What is an encoder? An encoder has 2 n input lines and n output lines. In encoder the output lines generate the binary code corresponding to the input value. 4 List few applications of multiplexer. Data Selector. Implement combinational logic circuit. Time multiplexing systems Frequency multiplexing systems. D/A and A/D converter Data acquisition systems.

6 5 Design a half subtractor using basic gates. Difference=A B+AB =A B Borrow=A B 6 Draw the logic diagram of a 4 line to 1 line multiplexer. 7. What is priority Encoder? A priority encoder is an encoder circuit that includes the priority function. In priority encoder, if 2 or more inputs are equal to 1 at the same time, the input having the highest priority will take precedence.

7 8. Write down the difference between demultiplexer and decoder. Definition Characteristic Demultiplexer 1 data input 2^n outputs Connects the data input to the data output Decoder It has n inputs 2^n outputs It has n control inputs Selects one of the 2^n outputs by decoding the binary value on the basis of n inputs Reverse of Multiplexer Encoder 9 Give the logic expression for sum and carry in full adder circuit. SUM = (A B) C IN CARRY = AB+BC IN +A C IN 10 Give examples for combinational circuit. i. Adders ii. Subtractors iii. Multiplexers iv. Demultiplexers v. Encoders vi. Decoders 11 Draw the logic circuit of a 2-bit comparator.[april/may-2015,2014]

8 12 Suggest a solution to overcome the limitation on the speed of an adder. It is possible to increase speed of adder by eliminating inter-stage carry delay. This method utilizes logic gates to look at the lower-order bits of the augend and addend to see if a higher-order carry is to be generated. 13 Relate carry generate, Carry propagate, Sum and Carry-out of a Carry look a head adder. 14. Realize the Boolean function using appropriate multiplexer F(A,B,C)= Σ (0,1,3,7) 15. Compare the performance of binary serial and parallel adders. Serial Adder: Serial adder uses shift registers The serial adder requires only one full adder circuit The serial adder is a sequential circuit Time required for addition depends on the number of bits It is slower parallel adder: Parallel adder uses registers with parallel load capacity It is faster Time required for addition does not depend on number of bits Excluding the registers, the parallel adder is a purely combinational circuit

9 16. Construct a two-4-bit parallel adder/subtractor using Full Adders and XOR gates. 17. Convert a two-to-four line decoder with enable input to 1X4 Demultiplexer 18. Draw the logic diagram of serial adder.

10 UNIT- 3 SYNCHRONOUS SEQUENTIAL CIRCUITS 1. Mention any two differences between the edge triggering and level triggering. Level Triggering: 1) The input signal is sampled when the clock signal is either HIGH or LOW. 2) It is sensitive to Glitches. Example: Latch. Edge Triggering: 1) The input signal is sampled at the RISING EDGE or FALLING EDGE of the clock signal. 2) It is not-sensitive to Glitches. Example: Flipflop. 2. What is meant by programmable counter? Mention its application. A counter that divides an input frequency by a number which can be programmed into decades of synchronous down counters. Decades, with additional decoding and control logic, give the equivalent of a divide-by N counter system, where N can be made equal to any number. Application: Microprocessor. Traffic light controller. Street light controller. 3. Write the characteristic equation of a JK flip-flop. The characteristic equation of a JK flip-flop is given by Q(next) = JQ' + K'Q

11 4. State the differences between Moore and mealy state machine. 1) Mealy Machines tend to have less states a) Different outputs on arcs (n^2) rather than states (n). 2) Moore Machines are safer to use a) Outputs change at clock edge (always one cycle later). b) In Mealy machines, input change can cause output change as soon as logic is done - A big problem when two machines are interconnected asynchronous feedback. 3) Mealy Machines react faster to inputs b) React in same cycle don't need to wait for clock. c) In Moore machines, more logic may be necessary to decode state into outputs more gate delays after. 5. Realise T-FF from JK-FF. 6. Convert JK flip-flop to T flip-flop.

12 7. How many flip-flops are required to build a binary counter that counts from 0 to 1023? If the number of flip-flops required is n, then 2 n -1=1023 n=10 since 2 10 = Compare the logics of synchronous counter and ripple counter. Asynchronous counter: 1. In this type of counter flipflop are connected in such a way that output of first flip-flop drives the clock for next flip-flop. 2. All the flip-flop are not clocked simultaneously. 3. Logic circuit is very simple even for more number of states. Synchronous counter: 1. In this type there is no connection between output of first flip-flop and clock input of the next flip-flop. 2. All the flip-flop are clocked simultaneously. 3. Design involves complex logic circuit as number of states increases. 9. Sketch the logic diagram of a clocked SR flip-flop. 10. How do you eliminate the race around condition in a JK flip-flop? When the input to the JK flip-flop is j=1 and k=1, the race around condition occurs, i.e it occurs when the time period of the clock pulse is greater than the propagation delay of the flip flop. the output changes or toggles in a single clock period. If it toggles even number of times the output is same but if it toggles odd number of times then the output is complimented. To avoid race around condition we cant make the clock pulse smaller than the propagation delay so we use 1. Master slave JK flip flop 2. Positive or negative edge triggering

13 11. Draw the state table and excitation table of T flip-flop. 12. A 4-bit binary ripple counter is operated with clock frequency of 1KHz. What is the output frequency of its third Flip flop? The output frequency of third flip-flop is: ½ 3 = 1/8KHz. 13. Realize JK flip-flop using D flip-flop. 14. Design a 3-bit ring counter and find the mod of the designed counter.

14 15. Define latches. Latch is a simple memory element, which consists of a pair of logic gates with their inputs and outputs inter connected in a feedback arrangement, which permits a single bit to be stored. 16. Draw the block diagram for Moore model. 17. What is synchronous sequential circuit? In synchronous circuits the input are pulses (or levels and pulses) with certain restrictions on pulse width and circuit propagation delay. Therefore synchronous circuits can be divided into clocked sequential circuits and uncklocked or pulsed sequential circuits. In a clocked sequential circuit which has flip-flops or, in some instances, gated latches, for its memory elements there is a (synchronizing) periodic clock connected to the clock inputs of all the memory elements of the circuit, to synchronize all internal changes of state

15 UNIT- 4 ASYNCHRONOUS SEQUENTIAL CIRCUITS 1. What are hazard free digital circuits? A circuit which has no hazard like static-0-hazard and static-1-hazard is called hazard free digital circuit. 2. What are the two types of asynchronous sequential circuits? Fundamental mode circuit Pulse mode circuit 3. What is state table? The state table representation of a sequential circuit consists of three sections labelled present state, next state and output. The present state designates the state of flip-flops before the occurrence of a clock pulse. The next state shows the states of flip-flops after the clock pulse, and the output section lists the value of the output variables during the present state. 4. What are Hazards? The unwanted switching transients (glitches) that may appear at the output of a circuit are called Hazards. 5. What is a state diagram? Give an example. A state diagram is a type of diagram used in computer science and related fields to describe the behaviour of systems. State diagrams require that the system described is composed of a finite number of states; sometimes, this is indeed the case, while at other times this is a reasonable abstraction. Many forms of state diagrams exist, which differ slightly and have different semantics. 6. Under what circumstances asynchronous circuits are prepared. (i) Fundamental mode asynchronous circuits (ii) Pulse mode asynchronous circuits

16 7. Differentiate fundamental mode and pulse mode asynchronous sequential circuits. Fundamental mode sequential circuits 1 (i) Memory elements are clocked flip-flops Pulse mode sequential circuits. (i) Memory elements are either unlocked flip - flops or time delay elements. 2 (ii) Easier to design (ii) More difficult to design 8. Write short notes on Hazards. The unwanted switching transients (glitches) that may appear at the output of a circuit are called Hazards. Static-0-Hazard Static-1-Hazard

17 UNIT - 5 MEMORY DEVICES AND DIGITAL INTEGRATED CIRCUITS 1. What is meant by memory Expansion? Mention its limit. The memory expansion can be achieved in two ways: by expanding word size and expanding memory capacity. Limitations: 1. Memory capacity upto 16Mbytes address lines and 16 data lines. 2. What are the advantages of static RAM and Dynamic Ram? Static RAM: Access time is less. Fast operation. Dynamic Ram It consumes less power. Cost is low. 3. What is difference between PAL and PLA? PLA: Both AND and OR arrays are programmable and Complex Costlier than PAL PAL: AND arrays are programmable OR arrays are fixed Cheaper and Simpler 3. Implement the exclusive or function using ROM. Can implement multi-input/multi-output logic functions inside of ROM. Data outputs are the logic functions and the address lines are the logic function inputs. We create a ROM Table to store the logic functions. When an input (or address) is presented, the value stored in the specified memory location appears at the data outputs. Each data output represents the correct value for its logic function

18 4. Compare Dynamic RAM with Static RAM. Static Ram is very costly. Dynamic Ram is cheaper. Static Ram contains Transistors. Dynamic Ram contains Capacitors. Static Ram is used in L1 and L2 cache. Dynamic Ram is used in system RAM. 5. Mention few applications of PLA and PAL. Implement combinational circuits Implement sequential circuits Code converters Microprocessor based systems 6. What are the different types of programmable logic devices? PROM PLA PAL GAL 7. Draw the structure of a static RAM cell.

19 8. List the advantages of PLDs. low and fixed (two gate) propagation delays (typically down to 5 ns), simple, low-cost (free), Design tools. 9. What is PAL? PAL is programmable array logic, PAL consists of a programmable AND array and a fixed OR array with output logic. 10. What is access time and cycle time of a memory? Access time is the maximum specified time within which a valid new data is put on the data bus after an address is applied. Cycle time is the minimum time for which an address must be held stable on the address bus in read cycle. 11. Implement a 2-bit multiplier using ROM. [Nov/Dec-2010]

20 12. How the memories are classified? It is classified into two types: volatile non-volatile memory 13. Draw the logic diagram of a static RAM cell and Bipolar cell. 14. What is volatile and non-volatile memory? The memory which cannot hold the data when power is turned off is known as volatile memory. The memory which can hold the data when power is turned off is known as nonvolatile memory 15. Give the advantages of RAM. Read and write the data. Data is accessed by using address of the memory location. Higher speed.

21 16. Draw an active-high tri-state buffer and write its truth table. Enable Input Output 0 X Z What is a totem pole output? Totem pole output is a standard output of a TTL gate. It is specifically designed to reduce the propagation delay in the circuit and to provide sufficient output power for high fan-out. 18. Draw the TTL Inverter (NOT) Circuit. 19. State the advantages of CMOS logic. Consumes less power. Can be operated at high voltages, resulting in improved noise immunity. Fan-out is more. Better noise margin.

22 20. Write a note on tri-state gates. It is a digital circuit that exhibits three states. Two of the states are signals equivalent to logic1 and logic 0. The third state is high impedance state. High impedance state behaves like a open circuit. 21. What is the significance of high impedance state in tri-state gates? a. High impedance state of a three-state gate provides a special feature not available in other gates. b. Because of this features a larger number of three state gate output can be connected with wires to form a common line without endangering loading effects. 22. Define the term Fan out. It is the maximum number of inputs which have same family that the gate can drive maintaining its output within the specified limits. 23. Draw the CMOS inverter circuit.

23 BIG QUESTIONS QUESTION BANK PART B UNIT I DIGITAL FUNDAMENTALS 1. Using K-map simplify the expression Y (A, B, C, D) = m 1 +m 3 +m 5 + m 7 +m 8 +m 9 + m 0 +m 2 +m 10 +m 12 +m 13. Indicate the prime implicants, essential and non-essential prime implicants. Realize the logic circuit using AND-OR-INVERT gates and also by using NAND gates. (16) 2. Obtain the simplified function for the Boolean function Y (A, B, C, D) = m 1 +m 3 +m 5 + m 7 +m 8 +m 9 + m 0 +m 2 +m 10 +m 12 +m 13 using Quine McClusky method. Obtain the NAND and NOR implementation of the simplified expression. (16) 3. Obtain the minimum SOP using Quine McClusky method and verify using K- map F= m0 + m2+m4+m8+m9+m10+m11+m12+m13. (16) 4. Determine the prime implicants of the following function and verify using K-map F(A,B,C,D) = Σ(3,4,5,7,9,13,14,15). (16) 5. Simplify using K-map to obtain a minimum POS expression for the function F = (A + B + C + D) (A + B + C + D) (A + B + C + D ) (A + B + C + D ) (A + B + C + D )(A + B + C + D). (8) 6. Write short notes on i) alphanumeric codes and ii) Error detection and correction methods (6) 7. i. Simplify F (A,B,C,D) = Σm ( 1,3,5,8,9,11,15) + Σd (2,13).If don t care conditions are not taken into care what will be the simplified Boolean function? Write your comments on it. Implement both circuits using logic gates. (12) ii. Add 26 and 39 using Excess-3 code. (4) 8. Simplify using five variable mapping F =(8,9,10,11,13,15,16,18,21,24,25,26,27,30,31) (16) 9. State and prove De - Morgan s theorems using two variables. (6) 10. Realize the functions of NOT, AND, OR and NAND gates only with NOR gates. (8) 11. i. Convert the decimal 65 to BCD, Excess-3 and Gray code (4) ii. Encode data bits 1001 into a seven bit even parity Hamming code. (4) 12. Simplify the following Boolean function in SOP and POS form using K-map F ( A,B,C,D) = Σm( 3,4,9,13,14,15) + Σd ( 2,5,10,12) (8) 13. Simplify the following function using K map and tabular methods. Compare the methods. F ( A,B,C,D) = Σm(4,5,6,7,8) + Σd (11,12,13,14,15).Implement the result using NAND gates. (16)

24 14. Obtain the minimum SOP using Quine Mc Clusky s method for the function Σm(0,1,2,8,9,15,17,21,24,25,27,31) (7) 15. What are codes? Explain the different codes with examples. (16) 16. Prove the following Boolean identities i) x + xyz + yzx + wx + w x + x y = x + y ii) (X1 + X 2 ) (X1 X 3 + X 3 ) (X 2 + X 1 X 3 ) = X 1 X 2 (6) 17. The state of 12 cell register is What is its contents if it represents a) Three decimal digits in BCD b) Three decimal digits in Excess- 3 code. c) Three decimal digits in 2421 code d) Three decimal digits in code. (8) 18. Implement the following expression with 2 - input NAND NOT gates. Assume that only true values of the inputs are available = (AB + A B ) (CD + C D).Also use a multiple level implementations to reduce the number of gates. Hint Use a two level AND OR implementation plus NOT gates on the inputs as needed. Then convert to NAND NOT gates. (16) 19. Simplify the following switching function F(A,B,C,D,E) = Σm(1,3,6,10,11,12,14,15,17,19,20,22,24,29,30) (16) 20. i) Perform the following arithmetic using two s complement (+27) + (-61), (-27) + (+61) and (-27) + (-61). (6) ii) Generate the parity bits for 8421 BCD code in an odd parity system. (2) 21. Perform the following using 12 bit two s complement arithmetic i. 8-7 ii iii (6) 22. Given F = A BE + BCDE + BC D E+ A B DE + B C DE, BE +B DE is the simplified version of the expression. Are there any don t care conditions, if so what are they? (8) 23. Simplify the function F(w,x,y,z) = Σm(2,3,12,13,14,15) using tabulation method. Implement the simplified function using gates. (8) 24. Obtain a four level NAND network for F (A, B, C, D) = (A B + C) D + EF (8) 25. Simplify the function F(w,x,y,z) = Σm(1,4,6,7,8,9,10,11,15) using tabulation method. Implement the simplified function using gates. (8) 26. Explain the term Prime Implicants. Write notes on computer aided minimization procedure. (10) 27. Simplify the five variable switching function F(E,D,C,B,A)=Σm(3,5,6,8,9,12,13,14,19,22,25,30) (16) 28. Determine the Prime Implicants and Essential Prime Implicants of the function F(w,x,y,z) = Σm(1,4,6,7,8,9,10,11,15) using tabulation method. (16)

25 29. Realise F(A,B,C,D) = Σm(0,3,4,5,8,9,10,14,15) using three input NOR gates. (16) 30. Find the MSP form of F (w, x, y, z) = Σm(1 3, 5-10,12 14) using the Quine Mc Clusky method. (16) 31. Perform the following: i) (-105) 10 + (-120) 10 using ones and twos complement. Comment on the result. ii) Divide by 101 and perform using ones complement, iii) (34) 10 + (19) 10 using excess 3 code. iv) Determine whether single error has occurred and if so, corrects the error using Hamming code, for (16) 32. Compare ones complement and twos complement representation of signed binary numbers. (16) 33. Obtain the minimum SOP using Quine MC Clusky s method and using K-map. F = m0+ m2 + m4 + m8 + m9 +m10 + m11 + m12 + m13 (16) 34. Reduce the following using tabulation method. F = m2 + m3 + m4 + m6 + m7 +m9 + m11 + m13. (16) 35. Using Quine Mc Clusky method find all the prime implicants and the minimum SOP for the function F ( a, b, c, d) = Σm(0,4,5,7,8,11,12,15) (16) 36. Convert the decimal number to binary, octal and hexa decimal. (6)

26 UNIT 2 COMBINATIONAL CIRCUIT DESIGN 1. Draw and explain the working of 4 bit adder subtractor circuit. (8) 2. Design a two bit magnitude Comparator (8) 3. The inputs to a circuit are the four bits of the binary number D 3 D 2 D 1 D 0. The circuit produces a one if and only if all of the following conditions hold, i. MSB is 1 or any of the other bits are a 0. ii. D 2 is a 1 or any other bits are a 0. iii. Any of the 4 bits are 0 Obtain a minimal expression for the output. (8) 4. i) Design a Half subtractor using NAND- NAND logic (6) ii) Design a four bit gray to binary code converter. (10) 5. Explain the working of carry look ahead generator (10) 6. A majority gate is a digital circuit whose output is equal to 1 if majority of its inputs are 1 s.the output is 0 otherwise. Using a truth table, find the Boolean function implemented by a 3-input majority gate. Simplify the function and implement it with logic gates. (10) 7. i) Design and implement a full adder circuit using logic gates and also by using half adders. (8) ii. Design a logic circuit to simulate the function f (A, B, C) = A (B + C) by using only NAND gates. (4) iii. Explain with truth table and gate level circuits diagram for a full adder. (12) 8. i. What is a decoder? How is it different from encoder? (6) ii. Implement the following function with a Multiplexer f (a, b, c, d) = Σ ( 0, 1, 3, 4, 8, 9, 15) (10) 9. Using 8 to 1 multiplexer, realize the following Boolean function T = f (w,x,y,z) = Σ (1,1,2,4,5,7,8,9,12,13) (16) 10. i. Implement full adder circuit using, a) Decoder b) Multiplexer (12) ii. How can you convert a decoder into a de-multiplexer? (4) 11. i. Using 8 to 1 multiplexer, realize the Boolean function T = f (w, x, y, z) = Σm (0, 1, 2, 4, 5, 7, 8, 9, 12, 13) (8) ii. Realize the function given in (i) using Decoder and external gates. (8) 12. Implement the function Y (A, B, C, D) = Σm (1, 3, 5, 7, 8, 9, 0, 2, 10, 12, 13) using 4:1MUX. (16)

27 13. i. Implement the logic function Y(A,B,C) = Σm ( 1, 2, 7) using 74151A and (8) ii. Implement a 3 to 8 line decoder. (8) 14. Which of the following statements refer to a decoder, encoder, a MUX or a DEMUX? a) Has more inputs than outputs. b) Can be used in parallel to serial conversion. c) Produces a binary code at its output. d) Only one of its outputs can be active at one time. e) Can be used to route an input signal to one of several possible outputs. f) Can be used to generate arbitrary logic functions. (16) 15. Implement the following multiple output combinational logic circuit using a 4 16 line decoder. F 1 = Σm (1, 2, 4, 7, 8, 11, 12, 13) F 2 = Σm (2, 3, 9, 11) F 3 = Σm (10, 12, 13, 14) F 4 = Σm (2, 4, 8) (16) 16. Implement the function Y (A, B, C, D) = Σm (1, 4, 6, 7, 8, 9, 10, 11, 15) using 4:1 MUX (16) 17. Design and explain the working of a 4 x 1 MUX. (8) 18. Explain how a 4 to 16 line decoder can be built using 2 to 4 line decoder. (8) 19. Implement the switching function F (A, B, C) = Σm (1, 2, 4, 5) using the DEMUX (16) 20. Design the following function F = Σm (0, 1, 3, 5, 6, 8, 10, 13, 14) using a multiplexer and a decoder. (16)

28 UNIT 3 SYNCHRONOUS SEQUENTIAL CIRCUITS 1. Design a MOD 10 synchronous counter using JK flip-flops. Write the excitation table and state table. (16) 2. i. Compare Moore and Mealy circuits. (4) ii. Draw and explain the block diagram of Mealy circuit. (12) 3. Using SR flip-flops, design a synchronous counter which counts in the sequence 000, 111, 101, 110, 001, 010, 000 (16) 4. Design a mod 5 synchronous counter using JK flip flops with separate logic circuitry for each J and K input. Construct a timing diagram and determine the duty cycle of the output of the most significant stage. (16) 5. Design a synchronous counter using JK flip-flop to count the following sequence 7, 4, 3, 1, 5, 0, 7. (16) 6. Design a sequential circuit with four flip-flops ABCD. The next states of B, C, and D are equal to the present states of A, B, C respectively. The next state of A is equal to the EX- OR of present states of C and D. (16) 7. i. Show that the characteristic equation of Q ( t+1) of JK flip flop is Q (t+1) = J Q + KQ (4) ii. A sequential circuit has one flip-flop Q, two inputs x and y, and one output S. It consists of a full adder circuit connected to a D flip-flop, as shown below. Derive the state table and state diagram of the sequential circuit. (12) 8. i. Reduce the number of states in the following state table and tabulate the reduced state table. (8) Present Next State Output state x = 0 x = 1 x = 0 x = 1 a F b 0 0 b D c 0 0 c F e 0 0 d G a 1 0 e D c 0 0 f F b 1 1 g G h 0 1 h G a 1 0 ii. Starting from state a, and the input sequence , determine the output sequence for the given and reduced state stable. (8)

29 9. Design a synchronous decade counter using D flip flop. (16) 10. i. Explain the working of a master slave JK flip flop. State its advantages. (6) ii. For a four bit even parity bit generator, inputs come serially. The four bits of the input sequence are to be examined by the circuit and circuit produces a parity bit which is to be added in the original sequence. The circuit should get ready for receiving another four bits after producing a parity bit for the last sequence. Draw the state diagram and write down the state transition table. (10) 11. A sequential circuit has four flip-flops ABCD and an input x is describe the following State equations. A (t + 1) = (CD + C D) x + (CD + C D ) x B (t + 1) = A C (t + 1) = B D (t + 1) = C a. Obtain the sequence of states when x = 1 starting from ABCD = 0001 b. Obtain the sequence of states when x = 0 starting from ABCD = 0000 (16) 12. A sequential circuit with 2 D flip-flops A and B and input X and output Y is specified by the following next state and output equations. A (t + 1) = AX + BX B (t + 1) = A X Y = (A + B) X i. Draw the logic diagram of the circuit ii. Derive the state table Iii. Derive the state diagram (16) 13. Design a Mod-14 up-down counter using T flip-flops. (16) 14. Design a mod- 7 counter using JK flip-flops. (16) 15. Design a BCD Up / Down counter using S R flip-flops. (10) 16. Design an asynchronous decade counter using JK flip-flops. (16) 17. Draw a four state switch tail ring counter. Show the count sequence. What is the modification to be used to prevent lock out? (16) 18. Design a synchronous counter with states 0, 1, 2, 3, 0, 1 using JK flip-flops. (16) 19. Write notes on state minimization. (8) 20. Design the clocked sequential circuit using JK flip-flops whose state diagram is given below. (16)

30 21. What is the use of State reduction? Reduce the state diagram. (10) 22. Design 4 bit synchronous counter using X-OR gate as well as JK Flip-flop to count from 0 to 15. (16) 23. Distinguish between synchronous and asynchronous sequential circuits. (6) 24. Consider the following synchronous sequential circuit. Determine its state table. What does the circuit do? (16) 25. Explain the meaning of Mealy and Moore machines. (6) 26. Show that if a sequential machine is strongly connected, then it is reversible but that the converse is not always true. (10) 27. Design a four state down counter using type T design procedures. (16) 28. Design a 4 bit synchronous 8421 decade counter with ripple carry. (16) 29. Explain the working of JK flip- flop. What is race around condition? How is it overcome? Explain these concepts with relevant timing diagrams. (16) 30. Design a 4 bit up / down counter using JK flip flops and Explain its working with timing diagrams. (16) 31. For the given Moore model sequential circuit, find the state table, state diagram, flips flop input and output equations. (16)

31 UNIT 4 ASYNCHRONOUS SEQUENTIAL CIRCUITS 1. Develop the state diagram and primitive flow table for a logic system that has two inputs S and R and a single output Q. The device is to be an edge triggered SR flipflop but without a clock. The device changes state on the rising edges of the two inputs. Static input values are not to have any effect in changing the Q output. (16) 2. Design an asynchronous sequential circuit that has two inputs X 2 and X 1 and one output Z. The output is to remain a 0 as long as X 1 is a 0. The first change in X 2 that occurs while X 1 is a 1 will cause a Z to be a 1. Z is to remain a 1 until X 1 returns to 0. Construct a state diagram and flow table. Determine the output equations. (16) 3. Construct the state diagram of a Mealey Pattern detector that can detect a serial string of 4 inputs, where each input is a four bit code. If the string of four bit codes is correctly received, then an output is generated. An incorrect input code pattern is to generate a second output. The second output is to be asserted only after receiving the sequence of four bit codes. (16) 4. An asynchronous sequential circuit has two internal states and one output. The excitation and output functions describing the circuit are Y 1 =x 1 +x 1 y 2 ' +x 2 y 1 Y 2 =x 2 +x 1 y 1 ' y 2 +x 1 y 1 Z= x 2 +y 1 (i) Draw the logic diagram of the circuit. (6) (ii) Derive the transition table and output map. (5) (ii) Obtain a flow table for the circuit. (5) 5. An asynchronous sequential circuit is described by the excitation and output functions Y = x 1 x 2 ' +(x 1 +x 2 ' ) y and Z =y (i) Draw the logic diagram of the circuit with a NOR SR latch. (6) (ii)derive the transition table and output map (5) (iii)obtain a two-state flow table. (5) 6. Define the following: i) asynchronous sequential circuits, ii) Cycles, iii) critical race, iv) non- critical race (8) 7. Draw the state diagram and obtain the primitive flow table for a circuit with two inputs x 1 and x 2 and two outputs z 1 and z 2 that satisfies the following conditions. When x 1 x 2 = 00 output z 1 z 2 = 00, when x 1 = 1 and x 2 changes from 0 to 1 the output z 1 z 2 = 01, when x 2 = 1 and x 1 changes from 0 to 1 the output z 1 z 2 = 10 otherwise output does not change. (16) 8. Design an asynchronous binary toggle circuit that changes state with each rising edge of clock input. Assume the initial output as zero. (16) 9. Write notes on the following giving one example for each. (8) Stable state, unstable state, Cycles, Race

32 10. Analyze the Boolean expression, K- Map, transition and state table and primitive flow table of the following asynchronous sequential circuits. (16) 11. How will you minimize the number of rows in the primitive state table of an incompletely specified sequential machine? (12) 12. State the restrictions on the pulse width in a pulse mode asynchronous sequential machine. (4)

33 UNIT 5 MEMORY DEVICES AND DIGITAL INTEGRATED CIRCUITS 1. Draw the circuit diagram and explain the working of TTL inverter with tristate output (8) 2. Explain the concept and implementation of ECL logic family. (8) 3. i) Explain the operation of TTL NAND gate with a neat circuit diagram. (8) ii) Draw the circuit of CMOS NOR gate and explain its operation. Mention any two points about the advantages of CMOS over the other digital logic families. (8) 4. i) Using ROM, design a combinational circuit which accepts 3 bit number and generates an output binary number equivalent to the square of input number. (8) ii) A combinational circuit is defined by the functions F 1 (A, B, C) = m (3, 5, 6, 7), F 2 (A, B, C) = m (0, 2, 4, 7). Implement the circuit using PLA. (8) 5. Discuss the working of the following programmable logic devices: (16) i. PROM ii. FPGA iii. PLD 6. Explain the working of 3 input totem pole TTL NAND gate. (10) 7. Draw a PLA circuit to implement the logic functions A BC + AB C + AC and A B C + BC (6) 8. Compare various digital logic families based on any five suitable parameters (10) 9. Write notes on ROM and its types. (16) 10. Write short notes on TTL, ECL and CMOS digital logic families. (16) 11. Explain EPROM and PLA. (8) 12. Define the terms Fan-out, tri-state gates, Fan-in. (6) 13. Draw the circuits of two input NAND and two input NOR gates using CMOS. (8) 14. Illustrate the ROM and PLA design for the following functions W(A,B,C,D) = Σm(3,7,8,9,11,15) X(A,B,C,D) = Σm(3,4,5,7,10,14,15) Y (A, B, C, D) = Σm (1, 5, 7, 11, 15) (16) 15. Draw and explain the circuit diagram of an ECL OR / NOR gate. (8) 16. Draw a neat sketch showing the implementation of Z1 = ab d e + a b c d e + bc + de Z2 = a c e Z3 = bc + de + c d e + bd and Z4 = a c e + ce using a 5 x 8 x 4 PLA. (12)

34 17. Generate the following Boolean functions with a PAL with 4 inputs and 4 outputs. Y 3 = A BC D + A BCD + A BCD + ABC D Y 2 = A BCD + A BCD + ABCD Y 1 = A BC + A BC + AB C + ABC Y 0 = ABCD (16) 18. Discuss about the TTL parameters. (10) 19. Draw the TTL inverter circuit. (6) 20. Name and explain the characteristics of TTL logic family. (8) 21. Draw the internal circuits of TTL inverter and AND gate. (8) 22. Discuss the concept of working and applications of the following memories: ROM, EPROM, PLA (16) 23. Explain the characteristics and implementation of the following digital logic families. i. CMOS, ii. ECL (16) 24. Write short notes on memory based design (8) 25. Show a BCD to Gray code converter can be designed using a 16 words X 4 bits ROM. (16)

Department of Computer Science and Engineering Question Bank- Even Semester:

Department of Computer Science and Engineering Question Bank- Even Semester: Department of Computer Science and Engineering Question Bank- Even Semester: 2014-2015 CS6201& DIGITAL PRINCIPLES AND SYSTEM DESIGN (Common to IT & CSE, Regulation 2013) UNIT-I 1. Convert the following

More information

UNIT 1 NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES 1. Briefly explain the stream lined method of converting binary to decimal number with example. 2. Give the Gray code for the binary number (111) 2. 3.

More information

CS6201 UNIT I PART-A. Develop or build the following Boolean function with NAND gate F(x,y,z)=(1,2,3,5,7).

CS6201 UNIT I PART-A. Develop or build the following Boolean function with NAND gate F(x,y,z)=(1,2,3,5,7). VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603203 DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Academic Year: 2015-16 BANK - EVEN SEMESTER UNIT I PART-A 1 Find the octal equivalent of hexadecimal

More information

Subject : EE6301 DIGITAL LOGIC CIRCUITS

Subject : EE6301 DIGITAL LOGIC CIRCUITS QUESTION BANK Programme : BE Subject : Semester / Branch : III/EEE UNIT 1 NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES Review of number systems, binary codes, error detection and correction codes (Parity

More information

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A SET - 1 Note: Question Paper consists of two parts (Part-A and Part-B) Answer ALL the question in Part-A Answer any THREE Questions from Part-B a) What are the characteristics of 2 s complement numbers?

More information

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053 SET - 1 1. a) What are the characteristics of 2 s complement numbers? b) State the purpose of reducing the switching functions to minimal form. c) Define half adder. d) What are the basic operations in

More information

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100 MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER 2016 CS 203: Switching Theory and Logic Design Time: 3 Hrs Marks: 100 PART A ( Answer All Questions Each carries 3 Marks )

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

Question Bank. Unit 1. Digital Principles, Digital Logic

Question Bank. Unit 1. Digital Principles, Digital Logic Question Bank Unit 1 Digital Principles, Digital Logic 1. Using Karnaugh Map,simplify the following boolean expression and give the implementation of the same using i)nand gates only(sop) ii) NOR gates

More information

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS)

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) 1. Convert Binary number (111101100) 2 to Octal equivalent. 2. Convert Binary (1101100010011011) 2 to Hexadecimal equivalent. 3. Simplify the following Boolean function

More information

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24 2065 Computer Science and Information Technology (CSc. 151) Pass Marks: 24 Time: 3 hours. Candidates are required to give their answers in their own words as for as practicable. Attempt any TWO questions:

More information

TYPICAL QUESTIONS & ANSWERS

TYPICAL QUESTIONS & ANSWERS DIGITALS ELECTRONICS TYPICAL QUESTIONS & ANSWERS OBJECTIVE TYPE QUESTIONS Each Question carries 2 marks. Choose correct or the best alternative in the following: Q.1 The NAND gate output will be low if

More information

DHANALAKSHMI SRINIVASAN INSTITUTE OF RESEARCH AND TECHNOLOGY CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN. I Year/ II Sem PART-A TWO MARKS UNIT-I

DHANALAKSHMI SRINIVASAN INSTITUTE OF RESEARCH AND TECHNOLOGY CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN. I Year/ II Sem PART-A TWO MARKS UNIT-I DHANALAKSHMI SRINIVASAN INSTITUTE OF RESEARCH AND TECHNOLOGY CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN I Year/ II Sem PART-A TWO MARKS UNIT-I BOOLEAN ALGEBRA AND LOGIC GATES 1) What are basic properties

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1 DAY MODU LE TOPIC QUESTIONS Day 1 Day 2 Day 3 Day 4 I Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation Phase Shift Wein Bridge oscillators.

More information

Course Plan. Course Articulation Matrix: Mapping of Course Outcomes (COs) with Program Outcomes (POs) PSO-1 PSO-2

Course Plan. Course Articulation Matrix: Mapping of Course Outcomes (COs) with Program Outcomes (POs) PSO-1 PSO-2 Course Plan Semester: 4 - Semester Year: 2019 Course Title: DIGITAL ELECTRONICS Course Code: EC106 Semester End Examination: 70 Continuous Internal Evaluation: 30 Lesson Plan Author: Ms. CH SRIDEVI Last

More information

St. MARTIN S ENGINEERING COLLEGE

St. MARTIN S ENGINEERING COLLEGE St. MARTIN S ENGINEERING COLLEGE Dhulapally, Kompally, Secunderabad-500014. Branch Year&Sem Subject Name : Electronics and Communication Engineering : II B. Tech I Semester : SWITCHING THEORY AND LOGIC

More information

Find the equivalent decimal value for the given value Other number system to decimal ( Sample)

Find the equivalent decimal value for the given value Other number system to decimal ( Sample) VELAMMAL COLLEGE OF ENGINEERING AND TECHNOLOGY, MADURAI 65 009 Department of Information Technology Model Exam-II-Question bank PART A (Answer for all Questions) (8 X = 6) K CO Marks Find the equivalent

More information

1 Hour Sample Test Papers: Sample Test Paper 1. Roll No.

1 Hour Sample Test Papers: Sample Test Paper 1. Roll No. 6.1.2 Sample Test Papers: Sample Test Paper 1 Roll No. Institute Name: Course Code: EJ/EN/ET/EX/EV/IC/IE/IS/MU/DE/ED/ET/IU Subject: Principles of Digital Techniques Marks: 25 1 Hour 1. All questions are

More information

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Course Title: Digital Logic Full Marks: 60 + 0 + 0 Course No.: CSC Pass Marks:

More information

A.R. ENGINEERING COLLEGE, VILLUPURAM ECE DEPARTMENT

A.R. ENGINEERING COLLEGE, VILLUPURAM ECE DEPARTMENT .R. ENGINEERING COLLEGE, VILLUPURM ECE EPRTMENT QUESTION BNK SUB. NME: IGITL ELECTRONICS SUB. COE: EC223 SEM: III BRNCH/YER: ECE/II UNIT-I MINIMIZTION TECHNIQUESN LOGIC GTES PRT- ) efine Minterm & Maxterm.

More information

Computer Architecture and Organization

Computer Architecture and Organization A-1 Appendix A - Digital Logic Computer Architecture and Organization Miles Murdocca and Vincent Heuring Appendix A Digital Logic A-2 Appendix A - Digital Logic Chapter Contents A.1 Introduction A.2 Combinational

More information

BHARATHIDASAN ENGINEERING COLLEGE, NATTRAMPALLI DEPARTMENT OF ECE

BHARATHIDASAN ENGINEERING COLLEGE, NATTRAMPALLI DEPARTMENT OF ECE BHARATHIDASAN ENGINEERING COLLEGE, NATTRAMPALLI DEPARTMENT OF ECE CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN 1 st year 2 nd semester CSE & IT Unit wise Important Part A and Part B Prepared by L.GOPINATH

More information

PURBANCHAL UNIVERSITY

PURBANCHAL UNIVERSITY [c] Implement a full adder circuit with a decoder and two OR gates. [4] III SEMESTER FINAL EXAMINATION-2006 Q. [4] [a] What is flip flop? Explain flip flop operating characteristics. [6] [b] Design and

More information

EE6301 DIGITAL LOGIC CIRCUITS UNIT-I NUMBERING SYSTEMS AND DIGITAL LOGIC FAMILIES 1) What are basic properties of Boolean algebra? The basic properties of Boolean algebra are commutative property, associative

More information

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic COURSE TITLE : DIGITAL INSTRUMENTS PRINCIPLE COURSE CODE : 3075 COURSE CATEGORY : B PERIODS/WEEK : 4 PERIODS/SEMESTER : 72 CREDITS : 4 TIME SCHEDULE MODULE TOPICS PERIODS 1 Number system & Boolean algebra

More information

S.K.P. Engineering College, Tiruvannamalai UNIT I

S.K.P. Engineering College, Tiruvannamalai UNIT I UNIT I NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES Part - A Questions 1. Convert the hexadecimal number E3FA to binary.( Nov 2007) E3FA 16 Hexadecimal E 3 F A 11102 00112 11112 10102 So the equivalent binary

More information

The word digital implies information in computers is represented by variables that take a limited number of discrete values.

The word digital implies information in computers is represented by variables that take a limited number of discrete values. Class Overview Cover hardware operation of digital computers. First, consider the various digital components used in the organization and design. Second, go through the necessary steps to design a basic

More information

LESSON PLAN. Sub Code: EE2255 Sub Name: DIGITAL LOGIC CIRCUITS Unit: I Branch: EEE Semester: IV

LESSON PLAN. Sub Code: EE2255 Sub Name: DIGITAL LOGIC CIRCUITS Unit: I Branch: EEE Semester: IV Unit: I Branch: EEE Semester: IV Page 1 of 6 Unit I Syllabus: BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS 9 Boolean algebra: De-Morgan s theorem, switching functions and simplification using K-maps & Quine

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

WINTER 14 EXAMINATION

WINTER 14 EXAMINATION Subject Code: 17320 WINTER 14 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2)

More information

Prepared By Verified By Approved By Mr M.Kumar Mrs R.Punithavathi Dr. V.Parthasarathy Asst. Professor / IT HOD / IT Principal

Prepared By Verified By Approved By Mr M.Kumar Mrs R.Punithavathi Dr. V.Parthasarathy Asst. Professor / IT HOD / IT Principal DEPARTMENT OF INFORMATION TECHNOLOGY Question Bank Subject Name : Digital Principles and System Design Year / Sem : II Year / III Sem Batch : 2011 2015 Name of the Staff : Mr M.Kumar AP / IT Prepared By

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION Spring 2012 Question No: 1 ( Marks: 1 ) - Please choose one A SOP expression is equal to 1

More information

Saturated Non Saturated PMOS NMOS CMOS RTL Schottky TTL ECL DTL I I L TTL

Saturated Non Saturated PMOS NMOS CMOS RTL Schottky TTL ECL DTL I I L TTL EC6302-DIGITAL ELECTRONICS UNIT I MINIMIZATION TECHNIQUES AND LOGIC GATES 1. Define binary logic? Binary logic consists of binary variables and logical operations. The variables are designated by the alphabets

More information

2 Marks Q&A. Digital Electronics. K. Michael Mahesh M.E.,MIET. Asst. Prof/ECE Dept.

2 Marks Q&A. Digital Electronics. K. Michael Mahesh M.E.,MIET. Asst. Prof/ECE Dept. 2 Marks Q&A Digital Electronics 3rd SEM CSE & IT ST. JOSEPH COLLEGE OF ENGINEERING (DMI & MMI GROUP OF INSTITUTIONS) CHENNAI- 600 117 K. Michael Mahesh M.E.,MIET. Asst. Prof/ECE Dept. K. Michael Mahesh

More information

ROEVER COLLEGE OF ENGINEERING & TECHNOLOGY ELAMBALUR, PERAMBALUR DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING

ROEVER COLLEGE OF ENGINEERING & TECHNOLOGY ELAMBALUR, PERAMBALUR DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING ROEVER COLLEGE OF ENGINEERING & TECHNOLOGY ELAMBALUR, PERAMBALUR-621220 DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING DIGITAL LOGIC CIRCUITS UNIT-1 BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS 1.

More information

LORDS INSTITUTE OF ENGINEERING & TECHNOLOGY

LORDS INSTITUTE OF ENGINEERING & TECHNOLOGY Department of Electronics & Communication Digital Electronics 1. Define binary logic? Part - A Unit 1 Binary logic consists of binary variables and logical operations. The variables are designated by the

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad ELECTRICAL AND ELECTRONICS ENGINEERING

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad ELECTRICAL AND ELECTRONICS ENGINEERING Course Name INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 043 ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK : SWITCHING THEORY AND LOGIC DESISN Course Code : A40407

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

Digital Principles and Design

Digital Principles and Design Digital Principles and Design Donald D. Givone University at Buffalo The State University of New York Grauu Boston Burr Ridge, IL Dubuque, IA Madison, Wl New York San Francisco St. Louis Bangkok Bogota

More information

UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers.

UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers. UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers. Digital computer is a digital system that performs various computational tasks. The word DIGITAL

More information

Principles of Computer Architecture. Appendix A: Digital Logic

Principles of Computer Architecture. Appendix A: Digital Logic A-1 Appendix A - Digital Logic Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix A - Digital Logic Chapter Contents A.1 Introduction A.2 Combinational

More information

DIGITAL PRINCIPLES AND SYSTEM DESIGN

DIGITAL PRINCIPLES AND SYSTEM DESIGN CS8351 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT-1 1. Define binary logic? Binary logic consists of binary variables and logical operations. The variables are Designated by the alphabets such as A, B,

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) WINTER 2018 EXAMINATION MODEL ANSWER

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) WINTER 2018 EXAMINATION MODEL ANSWER Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in themodel answer scheme. 2) The model answer and the answer written by candidate may

More information

VU Mobile Powered by S NO Group

VU Mobile Powered by S NO Group Question No: 1 ( Marks: 1 ) - Please choose one A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register.

More information

Using minterms, m-notation / decimal notation Sum = Cout = Using maxterms, M-notation Sum = Cout =

Using minterms, m-notation / decimal notation Sum = Cout = Using maxterms, M-notation Sum = Cout = 1 Review of Digital Logic Design Fundamentals Logic circuits: 1. Combinational Logic: No memory, present output depends only on the present input 2. Sequential Logic: Has memory, present output depends

More information

MC9211 Computer Organization

MC9211 Computer Organization MC9211 Computer Organization Unit 2 : Combinational and Sequential Circuits Lesson2 : Sequential Circuits (KSB) (MCA) (2009-12/ODD) (2009-10/1 A&B) Coverage Lesson2 Outlines the formal procedures for the

More information

EXPERIMENT: 1. Graphic Symbol: OR: The output of OR gate is true when one of the inputs A and B or both the inputs are true.

EXPERIMENT: 1. Graphic Symbol: OR: The output of OR gate is true when one of the inputs A and B or both the inputs are true. EXPERIMENT: 1 DATE: VERIFICATION OF BASIC LOGIC GATES AIM: To verify the truth tables of Basic Logic Gates NOT, OR, AND, NAND, NOR, Ex-OR and Ex-NOR. APPARATUS: mention the required IC numbers, Connecting

More information

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics Egemen K. Çetinkaya Egemen K. Çetinkaya Department of Electrical & Computer Engineering Missouri University of Science and

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

Logic Design Viva Question Bank Compiled By Channveer Patil

Logic Design Viva Question Bank Compiled By Channveer Patil Logic Design Viva Question Bank Compiled By Channveer Patil Title of the Practical: Verify the truth table of logic gates AND, OR, NOT, NAND and NOR gates/ Design Basic Gates Using NAND/NOR gates. Q.1

More information

Final Exam review: chapter 4 and 5. Supplement 3 and 4

Final Exam review: chapter 4 and 5. Supplement 3 and 4 Final Exam review: chapter 4 and 5. Supplement 3 and 4 1. A new type of synchronous flip-flop has the following characteristic table. Find the corresponding excitation table with don t cares used as much

More information

TEST-3 (DIGITAL ELECTRONICS)-(EECTRONIC)

TEST-3 (DIGITAL ELECTRONICS)-(EECTRONIC) 1 TEST-3 (DIGITAL ELECTRONICS)-(EECTRONIC) Q.1 The flip-flip circuit is. a) Unstable b) multistable c) Monostable d) bitable Q.2 A digital counter consists of a group of a) Flip-flop b) half adders c)

More information

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Chapter Contents. Appendix A: Digital Logic. Some Definitions A- Appendix A - Digital Logic A-2 Appendix A - Digital Logic Chapter Contents Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A. Introduction A.2 Combinational

More information

1.b. Realize a 5-input NOR function using 2-input NOR gates only.

1.b. Realize a 5-input NOR function using 2-input NOR gates only. . [3 points] Short Questions.a. Prove or disprove that the operators (,XOR) form a complete set. Remember that the operator ( ) is implication such that: A B A B.b. Realize a 5-input NOR function using

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram UNIT III INTRODUCTION In combinational logic circuits, the outputs at any instant of time depend only on the input signals present at that time. For a change in input, the output occurs immediately. Combinational

More information

Laboratory Objectives and outcomes for Digital Design Lab

Laboratory Objectives and outcomes for Digital Design Lab Class: SE Department of Information Technology Subject Logic Design Sem : III Course Objectives and outcomes for LD Course Objectives: Students will try to : COB1 Understand concept of various components.

More information

Sequential Logic. Analysis and Synthesis. Joseph Cavahagh Santa Clara University. r & Francis. TaylonSi Francis Group. , Boca.Raton London New York \

Sequential Logic. Analysis and Synthesis. Joseph Cavahagh Santa Clara University. r & Francis. TaylonSi Francis Group. , Boca.Raton London New York \ Sequential Logic Analysis and Synthesis Joseph Cavahagh Santa Clara University r & Francis TaylonSi Francis Group, Boca.Raton London New York \ CRC is an imprint of the Taylor & Francis Group, an informa

More information

Analogue Versus Digital [5 M]

Analogue Versus Digital [5 M] Q.1 a. Analogue Versus Digital [5 M] There are two basic ways of representing the numerical values of the various physical quantities with which we constantly deal in our day-to-day lives. One of the ways,

More information

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL 1. A stage in a shift register consists of (a) a latch (b) a flip-flop (c) a byte of storage (d) from bits of storage 2. To serially shift a byte of data into a shift register, there must be (a) one click

More information

Vignana Bharathi Institute of Technology UNIT 4 DLD

Vignana Bharathi Institute of Technology UNIT 4 DLD DLD UNIT IV Synchronous Sequential Circuits, Latches, Flip-flops, analysis of clocked sequential circuits, Registers, Shift registers, Ripple counters, Synchronous counters, other counters. Asynchronous

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified)

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

EE292: Fundamentals of ECE

EE292: Fundamentals of ECE EE292: Fundamentals of ECE Fall 2012 TTh 10:00-11:15 SEB 1242 Lecture 23 121120 http://www.ee.unlv.edu/~b1morris/ee292/ 2 Outline Review Combinatorial Logic Sequential Logic 3 Combinatorial Logic Circuits

More information

Chapter 3. Boolean Algebra and Digital Logic

Chapter 3. Boolean Algebra and Digital Logic Chapter 3 Boolean Algebra and Digital Logic Chapter 3 Objectives Understand the relationship between Boolean logic and digital computer circuits. Learn how to design simple logic circuits. Understand how

More information

Code No: A R09 Set No. 2

Code No: A R09 Set No. 2 Code No: A109210503 R09 Set No. 2 II B.Tech I Semester Examinations,November 2010 DIGITAL LOGIC DESIGN Computer Science And Engineering Time: 3 hours Max Marks: 75 Answer any FIVE Questions All Questions

More information

DIGITAL ELECTRONICS MCQs

DIGITAL ELECTRONICS MCQs DIGITAL ELECTRONICS MCQs 1. A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register. A. 1 B. 2 C. 4 D. 8

More information

I B.SC (INFORMATION TECHNOLOGY) [ ] Semester II CORE : DIGITAL COMPUTER FUNDAMENTALS - 212B Multiple Choice Questions.

I B.SC (INFORMATION TECHNOLOGY) [ ] Semester II CORE : DIGITAL COMPUTER FUNDAMENTALS - 212B Multiple Choice Questions. Dr.G.R.Damodaran College of Science (Autonomous, affiliated to the Bharathiar University, recognized by the UGC)Re-accredited at the 'A' Grade Level by the NAAC and ISO 9001:2008 Certified CRISL rated

More information

Department of CSIT. Class: B.SC Semester: II Year: 2013 Paper Title: Introduction to logics of Computer Max Marks: 30

Department of CSIT. Class: B.SC Semester: II Year: 2013 Paper Title: Introduction to logics of Computer Max Marks: 30 Department of CSIT Class: B.SC Semester: II Year: 2013 Paper Title: Introduction to logics of Computer Max Marks: 30 Section A: (All 10 questions compulsory) 10X1=10 Very Short Answer Questions: Write

More information

Chapter 5: Synchronous Sequential Logic

Chapter 5: Synchronous Sequential Logic Chapter 5: Synchronous Sequential Logic NCNU_2016_DD_5_1 Digital systems may contain memory for storing information. Combinational circuits contains no memory elements the outputs depends only on the inputs

More information

AIM: To study and verify the truth table of logic gates

AIM: To study and verify the truth table of logic gates EXPERIMENT: 1- LOGIC GATES AIM: To study and verify the truth table of logic gates LEARNING OBJECTIVE: Identify various Logic gates and their output. COMPONENTS REQUIRED: KL-31001 Digital Logic Lab( Main

More information

A Review of logic design

A Review of logic design Chapter 1 A Review of logic design 1.1 Boolean Algebra Despite the complexity of modern-day digital circuits, the fundamental principles upon which they are based are surprisingly simple. Boolean Algebra

More information

EC6302 DIGITAL ELECTRONICS L T P C OBJECTIVES:

EC6302 DIGITAL ELECTRONICS L T P C OBJECTIVES: L T P C 3 0 0 3 OBJECTIVES: To introduce basic postulates of Boolean algebra and shows the correlation between Boolean expressions To introduce the methods for simplifying Boolean expressions To outline

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous)

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) Subject Code: 17320 Model Answer Page 1 of 32 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the Model answer scheme. 2) The model

More information

SRM UNIVERSITY FACULTY OF ENGINEERING AND TECHNOLOGY SCHOOL OF ELECTRONICS AND ELECTRICAL ENGINEERING DEPARTMENT OF ECE COURSE PLAN

SRM UNIVERSITY FACULTY OF ENGINEERING AND TECHNOLOGY SCHOOL OF ELECTRONICS AND ELECTRICAL ENGINEERING DEPARTMENT OF ECE COURSE PLAN SRM UNIVERSITY FACULTY OF ENGINEERING AND TECHNOLOGY SCHOOL OF ELECTRONICS AND ELECTRICAL ENGINEERING DEPARTMENT OF ECE COURSE PLAN Course Code : EC0205 Course Title : DIGITAL SYSTEMS Semester : III Course

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

Nirma University Institute of Technology. Electronics and Communication Engineering Department. Course Policy

Nirma University Institute of Technology. Electronics and Communication Engineering Department. Course Policy Nirma University Institute of Technology Electronics and Communication Engineering Department Course Policy B. Tech Semester - III Academic Year: 2017 Course Code & Name : Credit Details : L T P C 4 2

More information

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Lab Manual for Computer Organization Lab

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

QUICK GUIDE COMPUTER LOGICAL ORGANIZATION - OVERVIEW

QUICK GUIDE COMPUTER LOGICAL ORGANIZATION - OVERVIEW QUICK GUIDE http://www.tutorialspoint.com/computer_logical_organization/computer_logical_organization_quick_guide.htm COMPUTER LOGICAL ORGANIZATION - OVERVIEW Copyright tutorialspoint.com In the modern

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic EEA091 - Digital Logic 數位邏輯 Chapter 7 Memory and Programmable Logic 吳俊興國立高雄大學資訊工程學系 2006 Chapter 7 Memory and Programmable Logic 7-1 Introduction 7-2 Random-Access Memory 7-3 Memory Decoding 7-4 Error

More information

EECS 270 Final Exam Spring 2012

EECS 270 Final Exam Spring 2012 EECS 270 Final Exam Spring 2012 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Page # Points 2 /20 3 /12 4 /10 5 /15

More information

PART A QUESTIONS WITH ANSWERS & PART B QUESTIONS

PART A QUESTIONS WITH ANSWERS & PART B QUESTIONS PART A QUESTIONS WITH ANSWERS & PART B QUESTIONS UNIT-I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS TWO MARKS 1) What are basic properties of Boolean algebra? The basic properties of Boolean algebra are

More information

CS T34-DIGITAL SYSTEM DESIGN Y2/S3

CS T34-DIGITAL SYSTEM DESIGN Y2/S3 UNIT III Sequential Logic: Latches versus Flip Flops SR, D, JK, Master Slave Flip Flops Excitation table Conversion of Flip flops Counters: Asynchronous, synchronous, decade, presettable Shift Registers:

More information

For Teacher's Use Only Q Total No. Marks. Q No Q No Q No

For Teacher's Use Only Q Total No. Marks. Q No Q No Q No FINALTERM EXAMINATION Spring 2010 CS302- Digital Logic Design (Session - 4) Time: 90 min Marks: 58 For Teacher's Use Only Q 1 2 3 4 5 6 7 8 Total No. Marks Q No. 9 10 11 12 13 14 15 16 Marks Q No. 17 18

More information

[2 credit course- 3 hours per week]

[2 credit course- 3 hours per week] Syllabus of Applied Electronics for F Y B Sc Semester- 1 (With effect from June 2012) PAPER I: Components and Devices [2 credit course- 3 hours per week] Unit- I : CIRCUIT THEORY [10 Hrs] Introduction;

More information

UNIT IV. Sequential circuit

UNIT IV. Sequential circuit UNIT IV Sequential circuit Introduction In the previous session, we said that the output of a combinational circuit depends solely upon the input. The implication is that combinational circuits have no

More information

Sequential Logic Circuits

Sequential Logic Circuits Sequential Logic Circuits By Dr. M. Hebaishy Digital Logic Design Ch- Rem.!) Types of Logic Circuits Combinational Logic Memoryless Outputs determined by current values of inputs Sequential Logic Has memory

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

CS 151 Final. Instructions: Student ID. (Last Name) (First Name) Signature

CS 151 Final. Instructions: Student ID. (Last Name) (First Name) Signature CS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover. 2. Write down your Student-Id on the top of

More information

ECE 263 Digital Systems, Fall 2015

ECE 263 Digital Systems, Fall 2015 ECE 263 Digital Systems, Fall 2015 REVIEW: FINALS MEMORY ROM, PROM, EPROM, EEPROM, FLASH RAM, DRAM, SRAM Design of a memory cell 1. Draw circuits and write 2 differences and 2 similarities between DRAM

More information

Sequential Circuits. Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs)

Sequential Circuits. Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs) Sequential Circuits Combinational circuits Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs) Sequential circuits Combination circuits with memory

More information

TWO MARK QUESTIONS EE6301 DIGITAL LOGIC CIRCUITS

TWO MARK QUESTIONS EE6301 DIGITAL LOGIC CIRCUITS TWO MARK QUESTIONS EE6301 DIGITAL LOGIC CIRCUITS UNIT I NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES Review of number systems, binary codes, error detection and correction codes (Parity and Hamming code0-

More information

Lecture 8: Sequential Logic

Lecture 8: Sequential Logic Lecture 8: Sequential Logic Last lecture discussed how we can use digital electronics to do combinatorial logic we designed circuits that gave an immediate output when presented with a given set of inputs

More information

Contents Circuits... 1

Contents Circuits... 1 Contents Circuits... 1 Categories of Circuits... 1 Description of the operations of circuits... 2 Classification of Combinational Logic... 2 1. Adder... 3 2. Decoder:... 3 Memory Address Decoder... 5 Encoder...

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MDETS UCTECH's Modular Digital Electronics Training System is a modular course covering the fundamentals, concepts, theory and applications of digital electronics.

More information

SEMESTER ONE EXAMINATIONS 2002

SEMESTER ONE EXAMINATIONS 2002 SEMESTER ONE EXAMINATIONS 2002 EE101 Digital Electronics Solutions Question 1. An assembly line has 3 failsafe sensors and 1 emergency shutdown switch. The Line should keep moving unless any of the following

More information

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York DEPARTMENT: SUBJECT CODE AND TITLE: COURSE DESCRIPTION: REQUIRED: Electrical and Telecommunications Engineering Technology TCET 3122/TC

More information