Laboratory Objectives and outcomes for Digital Design Lab

Size: px
Start display at page:

Download "Laboratory Objectives and outcomes for Digital Design Lab"

Transcription

1 Class: SE Department of Information Technology Subject Logic Design Sem : III Course Objectives and outcomes for LD Course Objectives: Students will try to : COB1 Understand concept of various components. COB2 Understand concepts that underpin the disciplines of Analog and digital electronic logic circuits. COB3 Describe Various Number system and Boolean algebra. COB4 Design and implementation of combinational circuits COB5 Design and implementation of sequential circuits COB6 Describe Hardware description language Course Outcomes: Students will able to: CO1 Achieve Knowledge and Awareness of various components to design stable analog circuits. CO2 Represent numbers and perform arithmetic operations. CO3 Minimize the Boolean expression using Boolean algebra and design it using logic gates CO4 Analyse and design combinational circuit. CO5 Design and develop sequential circuits CO6 Translate real world problems into digital logic formulations using VHDL. Laboratory Objectives and outcomes for Digital Design Lab Lab Objectives: Students will: LOB1. Learn to minimize and design combinational logic; LOB2. Understand the relationships between combination logic and Boolean algebra, and between sequential logic and finite state machines; LOB3. Appreciate trade-offs in complexity and speed of combinational designs; LOB4. Understand how state can be stored in a digital logic circuit; LOB5. Study how to design a simple finite state machine from a specification and be able to implement this in gates and edge triggered flip-flops LOB6. Learn to translate real world problems into digital logic formulations Lab Outcomes: Students will be able to: LO1. Minimize the Boolean algebra and design it using logic gates. LO2. Analyse and design combinational circuit. LO3. Realise given function using combinational circuit. LO4. Design and develop sequential circuits LO5. Implement digital systems using programmable logic devices LO6. Translate real world problems into digital logic formulations using VHDL.

2 List of Experiments Expt No. Experiment 1 Verify the truth table of logic gates (basic and universal gates) 2 Realization of Boolean algebra using gates 3 Design of Full Adder and Full Subtractor 4 verify the operation of 4- bit magnitude comparator 5 Implementation of MUX and DeMUX. 6 Implementation of Encoder and Decoder 7 To verify and observe the operation of flip-flop(any two) 8 To design any two shift register 9 Evaluate and observe Boolean expression using PALs and PLAs.. 10 Implementation of Logic Gates using VHD

3 PRECAUTION 1. All the IC s should be checked before use the apparatus. 2. All LED s should be checked. 3. All connections should be tight. 4. Always connect GROUND first and then Vcc 5. The circuit should be off before change the connections. 6. After completing the experiment switch off the supply to apparatus, wind up the circuits. 7. Keep all apparatus properly before leaving the place.

4 Experiment Write Ups AIM: Verify the truth table of logic gates (basic and universal gates) LEARNING OBJECTIVES: LOB1 TOOLS/SOFTWARE REQUIRED: Logic gates (IC) trainer kit. Connecting patch chords. IC 7400, IC 7402, IC 7404, IC 7406, IC 7408, IC 7432, IC 7486 RELATED THEORY: The basic logic gates are the building blocks of more complex logic circuits. These logic gates perform the basic Boolean functions, such as AND, OR, NAND, NOR, Inversion, Exclusive-OR, Exclusive-NOR. Fig. below shows the circuit symbol, Boolean function, and truth. It is seen from the Fig that each gate has one or two binary inputs, A and B, and one binary output, C. The small circle on the output of the circuit symbols designates the logic complement. The AND, OR, NAND, and NOR gates can be extended to have more than two inputs. A gate can be extended to have multiple inputs if the binary operation it represents is commutative and associative. These basic logic gates are implemented as small-scale integrated circuits (SSICs) or as part of more complex medium scale (MSI) or very large-scale (VLSI) integrated circuits. Digital IC gates are classified not only by their logic operation, but also the specific logic-circuit family to which they belong. Each logic family has its own basic electronic circuit upon which more complex digital circuits and functions are developed. The following logic families are the most frequently used. TTL : Transistor-transistor logic ECL : Emitter-coupled logic MOS : Metal-oxide semiconductor CMOS: Complementary metal-oxide semiconductor TTL and ECL are based upon bipolar transistors. TTL has a well established popular among logic families. ECL is used only in systems requiring high-speed operation. MOS and CMOS, are based on field effect transistors. They are widely used in large scale integrated circuits because of their high component density and relatively low power consumption. CMOS logic consumes far less power than MOS logic. There are various commercial integrated circuit chips available. TTL ICs are usually distinguished by numerical designation as the 5400 and 7400 series. ICs are classified by: a. Logic Families

5 b. Number of logic gates in an IC a. Logic Families and IC Series Designation TTL Series Prefix CMOS Series Prefix Standard TTL 74 Metal-gate CMOS 40 or 140 High-Speed TTL 74H Metal-gate CMOS 40 or 140 Low-Power TTL 74L Metal-gate, pin-compatible with TTL 74C Schottky TTL 74S Silicon-gate, pin-compatibel with TTL, highspeed 74HC Low-power Schottky TTL 74LS Si gate, high-speed, elect compatible with TTL 74HCT Advanced Schottky TTL 74AS Advanced low-power Schottky TTL 74ALS b. IC Classification by Complexity(no. of gates) Complexity Number of Gates Small-scale integration (SSI) Fewer than 12 Medium-scale integration (MSI) 12 to 99 Large-scale integration (LSI) 100 to 9999 Very large-scale integration (VLSI) 10,000 to 99,999 Ultra large-scale integration (ULSI) 100,000 or more Logical Symbols and Truth Table: OR GATE The OR gate produces a HIGH output when any or all of the inputs is HIGH. The abbreviation for this gate is OR. When both inputs are LOW, the output is LOW. The standard symbol for an OR gate is shown in figure below along with the associated Truth Table. The operation function sign for the OR gate is +

6 OR-Gate Waveform If you carefuly observe the input and output signals of an OR gate, you will note that at T0, both inputs are LOW and the output is LOW. At T1, A goes to HIGH and remains HIGH until T2. During this time the output is HIGH. At time T2, A goes low and B is low so output is low. At T3, B goes HIGH and but A is low so output is again high. At T4 both A and B are HIGH the output is HIGH. THE AND GATE The AND gate produces a HIGH output when all of the inputs are HIGH. The abbreviation for this gate is AND and the operation is denoted by a dor (.). When any inputs are LOW, the output is LOW. The standard symbol for an AND gate is shown in figure below along with the associated Truth

7 Table. AND-Gate Waveform On carefuly observation the truth table of an AND gate, you will note that at T0, both inputs are LOW and the output is LOW. At T1, A goes to HIGH but B is LOW so output goes high. At time T2, A goes low and B is low so output is low. At T3, B goes HIGH and but A is low so output remains LOW. At T4 both A and B are HIGH the output is HIGH. THE NAND GATE The NAND gate produces a LOW output when all of the inputs are HIGH. The abbreviation for this gate is NAND and is AND followed with NOT. When any inputs are LOW, the output ishigh. The standard symbol for an NAND gate is shown in figure below along with the associated Truth

8 Table. NAND-Gate Waveform On observing the truth table of a NAND gate, you will note that at T0, both inputs are LOW and the output is HIGH. At T1, A goes to HIGH but B is LOW so output goes HIGH. At time T2, A goes low and B is low so output is HIGH. At T3, B goes HIGH and but A is low so output remains HIGH. At T4 both A and B are HIGH the output is LOW.

9 THE NOR GATE The NOR gate produces a HIGH output when all of the inputs is LOW. When any or all its inputs are HIGH the output is LOW. The standard symbol for an NOR gate is shown in figure below along with the associated Truth Table. The operation function sign for the NOR gate is + inside a circle. NOR-Gate Waveform If you carefuly observe the input and output signals of an NOR gate, you will note that at The output is HIGH when all its input are LOW and the output is LOW when any or all inputs are HIGH

10 THE EXCLUSIVE OR GATE: The exclusive OR gate is a modified OR gate that produces a HIGH output when only one of the inputs is HIGH. When both inputs are HIGH or when both inputs are LOW, the output is LOW. The standard symbol for an exclusive OR gate is shown in figur below along with the associated Truth Table. XOR-Gate Waveform: At T0, both inputs are LOW and the output is LOW. At T1, A goes to HIGH and remains HIGH until T2, so during this time the output is HIGH. At T2, A goes LOW and B is already LOW so output is LOW. At T3, B goes HIGH and remains HIGH through T5 but A is LOW so the output is HIGH. At T4,both A and B are HIGH so the output goes LOW,

11 PIN Diagram of Various ICs

12 PROCEDURE: 1. Check the components for their working. 2. Insert the appropriate IC into the IC base. 3. Make connections as shown in the circuit diagram. 4. Provide the input data via the input switches and observe the output on output LEDs RESULT/ VERIFICATION: Verify the behaviour of the different gates with the truth table CONCLUSION: Thus verified the truth table of logic basic and universal gates LEARNING OUTCOMES: LO1 COURSE OUTCOMES: a)co1 IMPORTANT QUESTIONS 1. What is a logic gate? 2. What are universal gates? 3. What are Basic Gates 4. Which of the two input logic gate can be used to implement an inverter circuit? 5. Which are the logic gates whose all output entries are logic 1 except for one entry there is logic 0? 6. TTL operates at (power rating) 7. When the output of a NOR gate is high? 8. Why NAND & NOR gates are called universal gates? 9. Realize the EX OR gates using minimum number of NAND gates. 10. Give the truth table for EX-NOR and realize using NAND gates?

13 AIM: Realization of Boolean algebra using gates LEARNING OBJECTIVES: LOB1, LOB2 TOOLS/SOFTWARE REQUIRED: Logic gates (IC) trainer kit. Connecting patch chords. IC 7400, IC 7402, IC 7404, IC 7406, IC 7408, IC 7432, IC 7486 RELATED THEORY: Canonical Forms (Normal Forms): Any Boolean function can be written in disjunctive normal form (sum of min-terms) or conjunctive normal form (product of max-terms). A Boolean function can be represented by a Karnaugh map in which each cell corresponds to a minterm. The cells are arranged in such a way that any two immediately adjacent cells correspond to two minterms of distance 1. There is more than one way to construct a map with this property. Karnaugh Maps: The K-Map for 2, 3, 4 and 5 variable is shown in the following figure.

14 Realization of SOP Boolean expression: 1). Y= A B CD + A BCD + ABCD + AB CD + AB C D + AB C D + AB CD The figure below shows the truth table of the above function and on the right of TT is the K-Map simplification of the above logic function in SOP form. Realization of Sum of Product Equations

15 Product of Sum Logic Equations Rules for Grouping: Same as for sum-of-products, except that zero's are grouped instead of ones. Resulting Sum Terms: 1. If variable X has value 0 for all squares in the group, then the literal X is in the sum term. 2. If variable X has value 1 for all squares in the group, then the literal X' is in the sum term.

16 3. If variable X has value 0 for some squares in the group and value 1 for the others, then that variable does not appear in the sum term. Prime Implicate: Maximal grouping of zeros b>verification: Result:- Hence, given Boolean Expression is implemented by the Logic Gates. SOP Equation 1). Y= AB' + CD' POS equation (i) D.(A+B').(B'+C') (ii) (A+D'). (B'+C+D). (A'+B+C +D) PROCEDURE: RESULTS & DISCUSSION: CONCLUSION: Thus Realized of Boolean algebra using gates LEARNING OUTCOMES: LO1, LO2 COURSE OUTCOMES: a)co2 b) CO3 IMPORTANT QUESTIONS:- 1) What is a combinational circuit? 2) What is a sequential circuit? 3) What are the various methods of simplifying the Boolean Function. 4) What do you mean by minterm? 5) What do you mean by maxterm? 1) Convert the expression Y=AC + AB+AC into SOP form. 2) Convert the POS expression Y= (A+B) (B+C) (A+C) expression into canonical POS 3) Define POS. 4) Define SOP. 5) Define canonical form representation of Boolean function.

17 AIM: Design of Full Adder and Full Subtractor LEARNING OBJECTIVES: LOB1, LOB2, LOB3 TOOLS/SOFTWARE REQUIRED: Logic gates (IC) trainer kit. Connecting patch chords. IC 7400, IC 7402, IC 7404, IC 7406, IC 7408, IC 7432, IC 7486 RELATED THEORY: Half Adder: A half adder is a combinational circuit that performs the sum of two binary digits (A, B) to give a maximum of two binary outputs namely the sum(s) and the carry(c). Carry is the higher order bit and the sum is the lower order bit of the output.functional Table of the Half-Adder is given below: The Boolean expression for the sum(s) and carry(c) of half adder is, SUM = AB' + A'B; which is same as XOR of A and B CARRY= AB

18 HA Using NAND gates Logical equation for Sum = AB' + A'B; can be converted into NAND for by taking the double complement of the equation as: (Sum')' = { ( AB' + A'B)'}' and Carry C''= {(AB)'}' = {(AB')'. (A'B)'}' LOGIC-CIRCUIT FULL ADDER: A full adder is a combinational circuit that performs the sum of three binary digits (A, B, Cin) to give a maximum of two binary outputs namely the sum(s) and the carry- out (Cout).The full adder becomes necessary when a carry input must be added to the two binary digits to obtain the correct sum. A half adder has no input for carries from previous circuits.

19 The Boolean expression for the sum (S) and carry-out (Cout) of full adder is, SUM = A'B'C + A'BC' + AB'C' + ABC Cout = A'BC + AB'C + ABC' + ABC SIMPLIFICATION OF LOGIC EQUATIONS SUM = A'B'C + A'BC' + AB'C' + ABC = A (B C+BC ) + A(B C +BC) =A XOR B XOR C Cout = A'BC + AB'C + ABC' + ABC = A'BC + ABC+ AB'C + ABC' = BC(A+A ) + A(B C+BC) = BC + A(B XOR C) LOGIC DIAGRAM The full adder drawn above can be visualized as a combination of two half adders. It uses two XOR gates, the output of 1st XOR gate (i.e. SUM A+B) is taken as input to 2nd XOR gate and the other is the third input( usually the Cin), the outputs of the AND gates which are nothing but the carry of HA are ORed together. The FA drawn as a combination of two HA is shown below.

20 Implementation of Half Adder: IMPLEMENTATION OF FULL ADDER

21 FULL SUBTRACTOR : Full subtractor performs subtraction of two bits, one is minuend and other is subtrahend. In full subtractor 1 is borrowed by the previous adjacent lower minuend bit. Hence there are three bits are considered at the input of a full subtractor. There are two outputs, that are DIFFERENCE output D and BORROW output Bo. The BORROW output indicates`that the minuend bit requires borrow 1 from the next minuend bit. Figure shows the truth table of a full subtractor. The K-maps for the two outputs are shown in figure. If we compare DIFFERENCE output D and BORROW output Bo with full adder`it can be seen that the DIFFERENCE output D is the same as that for the SUM output. Further, the BORROW output Bo is similar to CARRY- OUT. In the case of a half-subtractor, A input is complemented similar things are carried out in full subtractor.

22 Figure below shows the implementation of full subtractor using logic gates. PROCEDURE: 1. Verify the gates. 2. Make the connections as per the circuit diagram. 3. Switch on VCC and apply various combinations of inputs according to truth table. 4. Note down the output readings of sum and the carry bit for different combinations of inputs. RESULTS & DISCUSSION: After the experiment has been setup, Apply the correct input signal and observe the output and verify with the HA and FA truth table. CONCLUSION: Thus designed full adder and full substractor s using gates LEARNING OUTCOMES: LO1,LO2,LO3 COURSE OUTCOMES: a) CO1 b) CO2 C) CO3

23 AIM: verify the operation of 4- bit magnitude comparator LEARNING OBJECTIVES: LOB1, LOB2, LOB3 TOOLS/SOFTWARE REQUIRED: Logic gates (IC) trainer kit. Connecting patch chords. IC 7400, IC 7411, IC 7408, IC 7485, IC 7486 RELATED THEORY: Magnitude comparator is a combinational logic circuit that compares between two binary numbers A and B and determines their relative magnitudes. The output of the circuit is specified by three binary variables whether: A>B, A=B or A<B. Figure 1 Block diagram of n-bit Magnitude Comparator. One -bit Magnitude Comparator: A comparator used to compare two 1-bit binary numbers. It has two binary inputs A, B and three binary outputs: greater than, equal and less than relations. Figure 2 below shows the block diagram and truth table of a single bit magnitude comparator.

24 A B A=B A<B A>B 1The Boolean functions describing the 1-bit magnitude comparator according to the truth table are: (A > B) = A'B (A < B ) = AB' The logic diagram for 1-bit binary comparator implemented by XOR and basic logic gates is shown below in figure 3. So we conclude that digital comparators actually use Exclusive-NOR gates within their design for comparing their respective pairs of bits. S Two -bit Magnitude Comparator: A comparator used to compare two 2-bit numbers. It has 4 binary inputs (number A: A 1 A 0, number B: B 1 B 0 ) and 3 binary outputs: greater than, equal and less than relations. Figure 4 below shows the block diagram and truth table of a two bit magnitude comparator. (a)block diagram (b) Truth table

25 Figure 4 Using key-map, the simplified Boolean function for the outputs A>B, A=B and A<B is shown below: 2Based on the simplified Boolean functions for the three outputs A>B, A=B and A<B, the logic diagram of the 2-bit magnitude comparator is shown below:

26 Four-bit Magnitude Comparator: A comparator used to compare two 4-bit words. The two 4-bit numbers are word A: A 3 A 2 A 1 A 0, and word B: B 3 B 2 B 1 B 0 ) So the circuit has 8 inputs and 3 binary outputs: A>B, A=B and A<B. (a)block diagram (b) Pin description for IC 7485

27 Figure 6 shows the block diagram and pin configuration of IC 7485 for 4-bit magnitude comparator. Three inputs are available for cascading comparators. This comparator generates an output of 1 at one of three comparison outputs such that: rd A is smaller than word B; A<B output is 1, 3This IC can be used to compare two 4-bit binary words by grounding I (A>B), I (A<B) and I (A=B) connector input to Vcc terminal. How 4-bit comparator it works? Equality: Word A equal word B iff: A 3 =B 3, A 2 =B 2, A 1 =B 1, A 0 =B 0. Inequality: are equal, and if A1 = 1, and B1 = 0, then A>B. Or then A > B. and B2 = 1, then A < B. Or then A < B. PROCEDURE: 1. Connections are made as per circuit diagram. 2. Verify the truth table. 3. Also connect Vcc and Ground then performed experiment. Precautions:- a. All ICs should be checked before starting the experiment. b. All the connection should be tight. c. Always connect ground first and then connect Vcc. d. Suitable type wire should be used for different types of circuit. e. The kit should be off before change the connections. f. After completed the experiments switch off the supply of the apparatus

28 CONCLUSION: Thus verified 4 bit magnitude comparator. LEARNING OUTCOMES: LO1,LO2,LO3 COURSE OUTCOMES: a) CO1 b) CO2

29 AIM: Implementation of MUX and De-MUX using basic logic gates LEARNING OBJECTIVES: LOB1, LOB2, LOB3 TOOLS/SOFTWARE REQUIRED: - Digital trainer kit, AND-7411, OR-7432, NOT-7404 Gate IC, Connecting wires RELATED THEORY: MULTIPLEXER: A multiplexer (MUX) is a many to one device. It allows input from many different sources to be transmitted to a common destination. The destination to which a particular source connects depends on the select/ control lines. Thus MUX is a device that accepts data from one of many input sources for transmission over a common shared line. To achieve this MUX has several data lines and a single output along with data-select inputs, which permit digital data on any of the inputs to be switched to the output line. The logic symbol for a 1- to-4 data selector/multiplexer is shown in Figure The truth table for a Multiplexer is given below:

30 The logic equation for the output can be written as: Output= I0.S1'.S0' + I1.S1'.S0 + I2.S1.S0' + I3.S1.S0 And the logic diagram for implementing the above equation is drawn below: DEMULTIPLEXER: A de-multiplexer is a circuit that has one input and more than one output. A de-multiplexer is often abbreviated as d-mux. It is used when a circuit wishes to send a signal to one of many devices. The output line to which the input get connected depends on the selection/control lines. This description sounds similar to the description given for a decoder, but a decoder is used to select among many devices while a demultiplexer is used to send a signal among many devices. Input

31 The output logic equations are : O3= I.S1'.S0' O2= I.S1'S0 O1= I.S1.S0' O0 = I.S1.S0 And the logic diagram for the de-multiplexer is given below: PROCEDURE:- 1. Connections are made as per circuit diagram. 2. Verify the truth table. 3. Also connect Vcc and Ground then performed experiment. Precautions:- a. All ICs should be checked before starting the experiment. b. All the connection should be tight.

32 c. Always connect ground first and then connect Vcc. d. Suitable type wire should be used for different types of circuit. e. The kit should be off before change the connections. f. After completed the experiments switch off the supply of the apparatus CONCLUSION: Thus verified 4 bit magnitude comparator. LEARNING OUTCOMES: LO1, LO2, LO3 COURSE OUTCOMES: a) CO2 b) CO3 IMPORTANT QUESTIONS: 1. Why multiplexer is called as data selector? 2. Give the applications of multiplexer. 3. How many control inputs are there in 16 to 1 demultiplexer? 4. How many select lines will a 32:1 multiplexer will have? 5. What is a multiplexer? 6. How many select lines will a 16 to 1 multiplexer will have? 7. What is the function of enable input on a multiplexer chip? 8. What is a demultiplexer? 9. Differentiate between decoder and demultiplexer. 10. Why is a demultiplexer called data distributor? 11. Give the applications of decoder. 12. How many control inputs are there in 1:16 demultiplexer?

33 AIM: Implementation of Encoder and Decoder LEARNING OBJECTIVES: LOB1, LOB2, LOB3 TOOLS/SOFTWARE REQUIRED: Logic gates (IC) trainer kit. Connecting patch chords. IC 7400, IC 7402, IC 7404, IC 7406, IC 7408, IC 7411, IC 7486 RELATED THEORY: DECODER:- A decoder is a multi-input and multi-output combinational logic circuit which converts coded input into coded outputs, where the input and output coded are different. ENCODER:- An encoder is a combinational logic circuit.it is the reverse of a decoder function. It has 2 to the power n input and n output lines. An encoder accepts an active level on one of its inputs representing a digit such as a decimal /octal digit and it convert to coded output. Encoder is used at the starting stage to encode the message into a unique code. Encoder encodes different types of messages into various forms. In Digital Circuits it encodes a decimal value into a binary word. The encoded binary word has number of bits associated with it. The number of bits depends upon the decimal value which is being encoded. For example in case of decimal values ranging from 0 to 7 the number of bits required to encode these values is 3.

34 The SOP equations for y3, y2,y1, and y0 are given below: Y3= sw8 + sw9 Y2 = sw4 + sw5 + sw6 + sw7 Y1 = sw2 + sw3 + sw6 + sw7 Y0 = sw1 + sw3 + sw5 + sw7 + sw9 Thus the logic diagram for the Encoder consists of OR gates only whose inputs are connected to input switches.:

35 DECODER : A decoder is a circuit that changes a code into a set of signals. It is called a decoder because it does the reverse of encoding, but we will begin our study of encoders and decoders with decoders because they are simpler to design. A common type of decoder is the line decoder which takes an n-digit binary number and decodes it into 2 n data lines. The simplest is the 1-to-2 line decoder. The truth table is A is the address and D is the dataline. D 0 is NOT A and D 1 is A. The circuit looks like 2-to-4 line decoder. The truth table is

36 Developed into a circuit it looks like PROCEDURE: 1. Connections are made as per circuit diagram. 2. Verify the truth table. 3. Also connect Vcc and Ground then performed experiment. Precautions:- a. All ICs should be checked before starting the experiment. b. All the connection should be tight. c. Always connect ground first and then connect Vcc. d. Suitable type wire should be used for different types of circuit. e. The kit should be off before change the connections. f. After completed the experiments switch off the supply of the apparatus CONCLUSION: Thus implemented encoder and decoder. LEARNING OUTCOMES: LO1, LO2, LO3 COURSE OUTCOMES: a) CO1 b) CO2 ) CO3

37 IMPORTANT QUESTIONS 1. Differentiate between decoder and demultiplexer. 2. What is an encoder? 3. What is priority encoder? 4. Which digital system translates coded characters into a more useful form? 5. Why is a demultiplexer called data distributor? 6. Give the applications of decoder.

38 AIM: To verify and observe the operation of flip-flop (any two) LEARNING OBJECTIVES: LOB4 TOOLS/SOFTWARE REQUIRED: Logic gates (IC) trainer kit. Connecting patch chords. IC 7400, IC 7402, IC 7404, IC 7406, IC 7408, IC 7486 RELATED THEORY: In case of sequential circuits the effect of all previous inputs on the outputs is represented by a state of the circuit. Thus, the output of the circuit at any time depends upon its current state and the input. These also determine the next state of the circuit. The relationship that exists among the inputs, outputs, present and next states can be specified by either the state table or the state diagram. State Table: - The state table representation of a sequential circuit consists of three sections labelled present state next state and output. The present state designates the state of flip flops before the occurrence of a clock pulse. The next state shows the states of flip - flops after the clock pulse, and the output section lists the value of the output variables during the present state. Flip-Flop:-The basic one bit digital memory circuit is known as flip-flop. It can store either 0 or 1. Flip-flops are classifieds according to the number of inputs. R-S Flip-Flop:- The circuit is similar to SR latch except enable signal is replaced by clock pulse. Clock Pulse Truth Table: S R Q(t+1) 0 x x Qt Qt Set Reset Indeterminate?

39 D Flip-Flop: - A D FF has a single data input. This type of FF is obtained from the SR FF by connecting the R input through an inverter, and the S input is connected directly to data input. The modified clocked SR flip-flop is known as D-flip-flop and is shown below. From the truth table of SR flip-flop we see that the output of the SR flip-flop is in unpredictable state when the inputs are same and high. In many practical applications, these input conditions are not required. These input conditions can be avoided by making then complement of each other. Logic Diagram: Characteristic table for D flip flop Clock Pulse D input Q(t+1) 0 x J-K Flip-Flop:- In a RS flip-flop the input R=S=1 leads to an indeterminate output. The RS flipflop circuit may be re-joined if both inputs are 1 than also the outputs are complement of each other.

40 Logic Diagram Characteristic table for J-K flip flop Clock Pulse J K Q(t+1) 0 x x NC NC Reset Set Togle (Qt)' T Flip-Flop:- T flip-flop is known as toggle flip-flop. The T flip-flop is modification of the J-K flip-flop. Both the JK inputs of the JK flip flop are held at logic 1 and the clock signal continuous to change.

41 Clock Pulse T Input Q(t+1) 0 x NC 1 0 NC 1 1 Toggle (Qt)' PROCEDURE:- 1Connections are made as per circuit diagram. 2Verify truth- tables for various combinations of input. CONCLUSION: Thus verified flip flop operation. LEARNING OUTCOMES: LO4 COURSE OUTCOMES: a)co2 b)co3 c) CO5 IMPORTANT QUESTIONS:- 1) What is a latch? 2) What is a flip flop? 3) Differentiate between a latch and a flip flop. 4) What happens to the JK flip flop if the J input is treated as an inverter is wired between J and K inputs? 5) How is a JK flip flop made to toggle? 6) When a JK flip flop is is in a no change condition? 7) Which is the basic sequential building block in which the output follows the data input as long as the enable input is active? 8) Define the characteristic equation of a flip flop. 9) How many stable states a flip flop has?

42 AIM: To design any two shift register LEARNING OBJECTIVES: LOB4, LOB5 TOOLS/SOFTWARE REQUIRED: Logic gates (IC) trainer kit. Connecting patch chords. IC 7474,IC 7404 RELATED THEORY: Shift register is used to move the data. To move data, it must be stored. So shift register actually stores data and moves it to left, right as per signal given to it. Its various types are: -Serial In Serial Out -Serial In Parallel Out -Parallel In Serial Out -Parallel In Parallel Out As flip flops are capable to store data (1 bit in a flip flop), they are used to construct shift registers Serial In: Output of one flip flop is input of another. Data is serially given i.e. only first flip flop receives data; it is shifted to next flip flops. Serial Out: Data is taken out from last flip flop Parallel In: All flip flops are loaded simultaneously Parallel Out: data is taken parallely by taking outputs from all flip flops at same time.

43 PROCEDURE: 1. Connect flip flops as per given diagram 2. Connect inputs to Q3, and Q0 to logic indicator. 3. Apply clock and data train to Q3, observe output at Q0(SISO) 4. For SIPO, observe outputs at all Q s by connecting all to logic indicators 5. Repeat for parallel in by connecting D s to logic sources and outputs at Q0 for PISO, Q s for PISO 6. Switch off supply. CONCLUSION: Thus verified shift registers. LEARNING OUTCOMES: LO4 COURSE OUTCOMES: a) CO5

44 AIM: Implementation of Logic Gates using VHD LEARNING OBJECTIVES: LOB6 TOOLS/SOFTWARE REQUIRED: VHDL installed on windows or Linux. RELATED THEORY: Explain Entity Architecture Variables Data types used in VHDL For Logic gates refer related theory of experiment no 01. Draw Flowchart and write algorithm. CONCLUSION: Thus implemented logic gates using VHD LEARNING OUTCOMES: LO6 COURSE OUTCOMES: a) CO6 Prepared by : Ms. Swati Abhang

EXPERIMENT: 1. Graphic Symbol: OR: The output of OR gate is true when one of the inputs A and B or both the inputs are true.

EXPERIMENT: 1. Graphic Symbol: OR: The output of OR gate is true when one of the inputs A and B or both the inputs are true. EXPERIMENT: 1 DATE: VERIFICATION OF BASIC LOGIC GATES AIM: To verify the truth tables of Basic Logic Gates NOT, OR, AND, NAND, NOR, Ex-OR and Ex-NOR. APPARATUS: mention the required IC numbers, Connecting

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

Find the equivalent decimal value for the given value Other number system to decimal ( Sample)

Find the equivalent decimal value for the given value Other number system to decimal ( Sample) VELAMMAL COLLEGE OF ENGINEERING AND TECHNOLOGY, MADURAI 65 009 Department of Information Technology Model Exam-II-Question bank PART A (Answer for all Questions) (8 X = 6) K CO Marks Find the equivalent

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET LABORATORY MANUAL EXPERIMENT NO. 1 ISSUE NO. : ISSUE DATE: REV. NO. : REV. DATE :

More information

Computer Architecture and Organization

Computer Architecture and Organization A-1 Appendix A - Digital Logic Computer Architecture and Organization Miles Murdocca and Vincent Heuring Appendix A Digital Logic A-2 Appendix A - Digital Logic Chapter Contents A.1 Introduction A.2 Combinational

More information

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Course Title: Digital Logic Full Marks: 60 + 0 + 0 Course No.: CSC Pass Marks:

More information

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Lab Manual for Computer Organization Lab

More information

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053 SET - 1 1. a) What are the characteristics of 2 s complement numbers? b) State the purpose of reducing the switching functions to minimal form. c) Define half adder. d) What are the basic operations in

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

ME 515 Mechatronics. Introduction to Digital Electronics

ME 515 Mechatronics. Introduction to Digital Electronics ME 55 Mechatronics /5/26 ME 55 Mechatronics Digital Electronics Asanga Ratnaweera Department of Faculty of Engineering University of Peradeniya Tel: 8239 (3627) Email: asangar@pdn.ac.lk Introduction to

More information

AIM: To study and verify the truth table of logic gates

AIM: To study and verify the truth table of logic gates EXPERIMENT: 1- LOGIC GATES AIM: To study and verify the truth table of logic gates LEARNING OBJECTIVE: Identify various Logic gates and their output. COMPONENTS REQUIRED: KL-31001 Digital Logic Lab( Main

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A SET - 1 Note: Question Paper consists of two parts (Part-A and Part-B) Answer ALL the question in Part-A Answer any THREE Questions from Part-B a) What are the characteristics of 2 s complement numbers?

More information

Analogue Versus Digital [5 M]

Analogue Versus Digital [5 M] Q.1 a. Analogue Versus Digital [5 M] There are two basic ways of representing the numerical values of the various physical quantities with which we constantly deal in our day-to-day lives. One of the ways,

More information

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1 DAY MODU LE TOPIC QUESTIONS Day 1 Day 2 Day 3 Day 4 I Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation Phase Shift Wein Bridge oscillators.

More information

Logic Design Viva Question Bank Compiled By Channveer Patil

Logic Design Viva Question Bank Compiled By Channveer Patil Logic Design Viva Question Bank Compiled By Channveer Patil Title of the Practical: Verify the truth table of logic gates AND, OR, NOT, NAND and NOR gates/ Design Basic Gates Using NAND/NOR gates. Q.1

More information

UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers.

UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers. UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers. Digital computer is a digital system that performs various computational tasks. The word DIGITAL

More information

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic COURSE TITLE : DIGITAL INSTRUMENTS PRINCIPLE COURSE CODE : 3075 COURSE CATEGORY : B PERIODS/WEEK : 4 PERIODS/SEMESTER : 72 CREDITS : 4 TIME SCHEDULE MODULE TOPICS PERIODS 1 Number system & Boolean algebra

More information

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24 2065 Computer Science and Information Technology (CSc. 151) Pass Marks: 24 Time: 3 hours. Candidates are required to give their answers in their own words as for as practicable. Attempt any TWO questions:

More information

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops Objective Construct a two-bit binary decoder. Study multiplexers (MUX) and demultiplexers (DEMUX). Construct an RS flip-flop from discrete gates.

More information

Subject : EE6301 DIGITAL LOGIC CIRCUITS

Subject : EE6301 DIGITAL LOGIC CIRCUITS QUESTION BANK Programme : BE Subject : Semester / Branch : III/EEE UNIT 1 NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES Review of number systems, binary codes, error detection and correction codes (Parity

More information

Principles of Computer Architecture. Appendix A: Digital Logic

Principles of Computer Architecture. Appendix A: Digital Logic A-1 Appendix A - Digital Logic Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix A - Digital Logic Chapter Contents A.1 Introduction A.2 Combinational

More information

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Chapter Contents. Appendix A: Digital Logic. Some Definitions A- Appendix A - Digital Logic A-2 Appendix A - Digital Logic Chapter Contents Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A. Introduction A.2 Combinational

More information

TYPICAL QUESTIONS & ANSWERS

TYPICAL QUESTIONS & ANSWERS DIGITALS ELECTRONICS TYPICAL QUESTIONS & ANSWERS OBJECTIVE TYPE QUESTIONS Each Question carries 2 marks. Choose correct or the best alternative in the following: Q.1 The NAND gate output will be low if

More information

Dev Bhoomi Institute Of Technology PRACTICAL INSTRUCTION SHEET EXPERIMENT NO. ISSUE NO. : ISSUE DATE: REV. NO. : REV. DATE : PAGE:

Dev Bhoomi Institute Of Technology PRACTICAL INSTRUCTION SHEET EXPERIMENT NO. ISSUE NO. : ISSUE DATE: REV. NO. : REV. DATE : PAGE: Dev Bhoomi Institute Of Technology LABORATORY MANUAL PRACTICAL INSTRUCTION SHEET EXPERIMENT NO. ISSUE NO. : ISSUE DATE: REV. NO. : REV. DATE : PAGE: LABORATORY Name & Code: Digital Electronics SEMESTER:

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION Spring 2012 Question No: 1 ( Marks: 1 ) - Please choose one A SOP expression is equal to 1

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100 MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER 2016 CS 203: Switching Theory and Logic Design Time: 3 Hrs Marks: 100 PART A ( Answer All Questions Each carries 3 Marks )

More information

QUICK GUIDE COMPUTER LOGICAL ORGANIZATION - OVERVIEW

QUICK GUIDE COMPUTER LOGICAL ORGANIZATION - OVERVIEW QUICK GUIDE http://www.tutorialspoint.com/computer_logical_organization/computer_logical_organization_quick_guide.htm COMPUTER LOGICAL ORGANIZATION - OVERVIEW Copyright tutorialspoint.com In the modern

More information

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics Egemen K. Çetinkaya Egemen K. Çetinkaya Department of Electrical & Computer Engineering Missouri University of Science and

More information

PURBANCHAL UNIVERSITY

PURBANCHAL UNIVERSITY [c] Implement a full adder circuit with a decoder and two OR gates. [4] III SEMESTER FINAL EXAMINATION-2006 Q. [4] [a] What is flip flop? Explain flip flop operating characteristics. [6] [b] Design and

More information

RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029

RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)123029 DIGITAL ELECTRONICS LAB( EE-224-F) DIGITAL ELECTRONICS LAB (EE-224-F) LAB MANUAL IV SEMESTER RAO PAHALD SINGH GROUP OF INSTITUTIONS BALANA(MOHINDER GARH)2329 Department Of Electronics & Communication Engg.

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

UNIT 1 NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES 1. Briefly explain the stream lined method of converting binary to decimal number with example. 2. Give the Gray code for the binary number (111) 2. 3.

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

Engineering College. Electrical Engineering Department. Digital Electronics Lab

Engineering College. Electrical Engineering Department. Digital Electronics Lab Engineering College Electrical Engineering Department Digital Electronics Lab Prepared by: Dr. Samer Mayaleh Eng. Nuha Odeh 2009/2010-1 - CONTENTS Experiment Name Page 1- Measurement of Basic Logic Gates

More information

Course Plan. Course Articulation Matrix: Mapping of Course Outcomes (COs) with Program Outcomes (POs) PSO-1 PSO-2

Course Plan. Course Articulation Matrix: Mapping of Course Outcomes (COs) with Program Outcomes (POs) PSO-1 PSO-2 Course Plan Semester: 4 - Semester Year: 2019 Course Title: DIGITAL ELECTRONICS Course Code: EC106 Semester End Examination: 70 Continuous Internal Evaluation: 30 Lesson Plan Author: Ms. CH SRIDEVI Last

More information

CS6201 UNIT I PART-A. Develop or build the following Boolean function with NAND gate F(x,y,z)=(1,2,3,5,7).

CS6201 UNIT I PART-A. Develop or build the following Boolean function with NAND gate F(x,y,z)=(1,2,3,5,7). VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603203 DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Academic Year: 2015-16 BANK - EVEN SEMESTER UNIT I PART-A 1 Find the octal equivalent of hexadecimal

More information

St. MARTIN S ENGINEERING COLLEGE

St. MARTIN S ENGINEERING COLLEGE St. MARTIN S ENGINEERING COLLEGE Dhulapally, Kompally, Secunderabad-500014. Branch Year&Sem Subject Name : Electronics and Communication Engineering : II B. Tech I Semester : SWITCHING THEORY AND LOGIC

More information

Department of CSIT. Class: B.SC Semester: II Year: 2013 Paper Title: Introduction to logics of Computer Max Marks: 30

Department of CSIT. Class: B.SC Semester: II Year: 2013 Paper Title: Introduction to logics of Computer Max Marks: 30 Department of CSIT Class: B.SC Semester: II Year: 2013 Paper Title: Introduction to logics of Computer Max Marks: 30 Section A: (All 10 questions compulsory) 10X1=10 Very Short Answer Questions: Write

More information

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS)

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) 1. Convert Binary number (111101100) 2 to Octal equivalent. 2. Convert Binary (1101100010011011) 2 to Hexadecimal equivalent. 3. Simplify the following Boolean function

More information

The word digital implies information in computers is represented by variables that take a limited number of discrete values.

The word digital implies information in computers is represented by variables that take a limited number of discrete values. Class Overview Cover hardware operation of digital computers. First, consider the various digital components used in the organization and design. Second, go through the necessary steps to design a basic

More information

Digital Principles and Design

Digital Principles and Design Digital Principles and Design Donald D. Givone University at Buffalo The State University of New York Grauu Boston Burr Ridge, IL Dubuque, IA Madison, Wl New York San Francisco St. Louis Bangkok Bogota

More information

Contents Circuits... 1

Contents Circuits... 1 Contents Circuits... 1 Categories of Circuits... 1 Description of the operations of circuits... 2 Classification of Combinational Logic... 2 1. Adder... 3 2. Decoder:... 3 Memory Address Decoder... 5 Encoder...

More information

LESSON PLAN. Sub Code: EE2255 Sub Name: DIGITAL LOGIC CIRCUITS Unit: I Branch: EEE Semester: IV

LESSON PLAN. Sub Code: EE2255 Sub Name: DIGITAL LOGIC CIRCUITS Unit: I Branch: EEE Semester: IV Unit: I Branch: EEE Semester: IV Page 1 of 6 Unit I Syllabus: BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS 9 Boolean algebra: De-Morgan s theorem, switching functions and simplification using K-maps & Quine

More information

Helping Material of CS302

Helping Material of CS302 ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital circuit which forms the sum and carry of

More information

Department of Computer Science and Engineering Question Bank- Even Semester:

Department of Computer Science and Engineering Question Bank- Even Semester: Department of Computer Science and Engineering Question Bank- Even Semester: 2014-2015 CS6201& DIGITAL PRINCIPLES AND SYSTEM DESIGN (Common to IT & CSE, Regulation 2013) UNIT-I 1. Convert the following

More information

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MDETS UCTECH's Modular Digital Electronics Training System is a modular course covering the fundamentals, concepts, theory and applications of digital electronics.

More information

CS302 Glossary. address : The location of a given storage cell or group of cells in a memory; a unique memory location containing one byte.

CS302 Glossary. address : The location of a given storage cell or group of cells in a memory; a unique memory location containing one byte. CS302 Glossary ABEL Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder A digital circuit which forms the sum and

More information

Digital Circuits. Electrical & Computer Engineering Department (ECED) Course Notes ECED2200. ECED2200 Digital Circuits Notes 2012 Dalhousie University

Digital Circuits. Electrical & Computer Engineering Department (ECED) Course Notes ECED2200. ECED2200 Digital Circuits Notes 2012 Dalhousie University 1 Digital Circuits Electrical & Computer Engineering Department (ECED) Course Notes ECED2200 2 Table of Contents Digital Circuits... 7 Logic Gates... 8 AND Gate... 8 OR Gate... 9 NOT Gate... 10 NOR Gate...

More information

EEE130 Digital Electronics I Lecture #1_2. Dr. Shahrel A. Suandi

EEE130 Digital Electronics I Lecture #1_2. Dr. Shahrel A. Suandi EEE130 Digital Electronics I Lecture #1_2 Dr. Shahrel A. Suandi 1-4 Overview of Basic Logic Functions Digital systems are generally built from combinations of NOT, AND and OR logic elements The combinations

More information

Page No.1. CS302 Digital Logic & Design_ Muhammad Ishfaq

Page No.1. CS302 Digital Logic & Design_ Muhammad Ishfaq Page No.1 File Version Update: (Dated: 17-May-2011) This version of file contains: Content of the Course (Done) FAQ updated version.(these must be read once because some very basic definition and question

More information

A Review of logic design

A Review of logic design Chapter 1 A Review of logic design 1.1 Boolean Algebra Despite the complexity of modern-day digital circuits, the fundamental principles upon which they are based are surprisingly simple. Boolean Algebra

More information

TEST-3 (DIGITAL ELECTRONICS)-(EECTRONIC)

TEST-3 (DIGITAL ELECTRONICS)-(EECTRONIC) 1 TEST-3 (DIGITAL ELECTRONICS)-(EECTRONIC) Q.1 The flip-flip circuit is. a) Unstable b) multistable c) Monostable d) bitable Q.2 A digital counter consists of a group of a) Flip-flop b) half adders c)

More information

[2 credit course- 3 hours per week]

[2 credit course- 3 hours per week] Syllabus of Applied Electronics for F Y B Sc Semester- 1 (With effect from June 2012) PAPER I: Components and Devices [2 credit course- 3 hours per week] Unit- I : CIRCUIT THEORY [10 Hrs] Introduction;

More information

VU Mobile Powered by S NO Group

VU Mobile Powered by S NO Group Question No: 1 ( Marks: 1 ) - Please choose one A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register.

More information

Semester III. Subject Name: Digital Electronics. Subject Code: 09CT0301. Diploma Branches in which this subject is offered: Computer Engineering

Semester III. Subject Name: Digital Electronics. Subject Code: 09CT0301. Diploma Branches in which this subject is offered: Computer Engineering Semester III Subject Name: Digital Electronics Subject Code: 09CT0301 Diploma Branches in which this subject is offered: Objective: The subject aims to prepare the students, To understand the basic of

More information

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE NORTHWESTERN UNIVERSITY TECHNOLOGICL INSTITUTE ECE 270 Experiment #8 DIGITL CIRCUITS Prelab 1. Draw the truth table for the S-R Flip-Flop as shown in the textbook. Draw the truth table for Figure 7. 2.

More information

WINTER 14 EXAMINATION

WINTER 14 EXAMINATION Subject Code: 17320 WINTER 14 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2)

More information

TRAINING KITS ON DIGITAL ELECTRONIC EXPERIMENTS. Verify Truth table for TTL IC s AND, NOT, & NAND GATES

TRAINING KITS ON DIGITAL ELECTRONIC EXPERIMENTS. Verify Truth table for TTL IC s AND, NOT, & NAND GATES TRAINING KITS ON DIGITAL ELECTRONIC EXPERIMENTS CEE 2800 Basic Logic Gates using TTL IC's (7 in 1) To verify the truth table For TTL AND, OR. NOT, NAND,NOR, EX-OR, & EX-NOR Gates. Instrument comprises

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad ELECTRICAL AND ELECTRONICS ENGINEERING

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad ELECTRICAL AND ELECTRONICS ENGINEERING Course Name INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 043 ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK : SWITCHING THEORY AND LOGIC DESISN Course Code : A40407

More information

A Combined Combinational-Sequential System

A Combined Combinational-Sequential System A Combined Combinational-Sequential System Object To construct a serial transmission circuit with a comparator to check the output. Parts () 7485 4-bit magnitude comparators (1) 74177 4-bit binary counter

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) WINTER 2018 EXAMINATION MODEL ANSWER

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) WINTER 2018 EXAMINATION MODEL ANSWER Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in themodel answer scheme. 2) The model answer and the answer written by candidate may

More information

SUBJECT NAME : DIGITAL ELECTRONICS SUBJECT CODE : EC8392 1. State Demorgan s Theorem. QUESTION BANK PART A UNIT - I DIGITAL FUNDAMENTALS De Morgan suggested two theorems that form important part of Boolean

More information

EE292: Fundamentals of ECE

EE292: Fundamentals of ECE EE292: Fundamentals of ECE Fall 2012 TTh 10:00-11:15 SEB 1242 Lecture 23 121120 http://www.ee.unlv.edu/~b1morris/ee292/ 2 Outline Review Combinatorial Logic Sequential Logic 3 Combinatorial Logic Circuits

More information

MC9211 Computer Organization

MC9211 Computer Organization MC9211 Computer Organization Unit 2 : Combinational and Sequential Circuits Lesson2 : Sequential Circuits (KSB) (MCA) (2009-12/ODD) (2009-10/1 A&B) Coverage Lesson2 Outlines the formal procedures for the

More information

S.K.P. Engineering College, Tiruvannamalai UNIT I

S.K.P. Engineering College, Tiruvannamalai UNIT I UNIT I NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES Part - A Questions 1. Convert the hexadecimal number E3FA to binary.( Nov 2007) E3FA 16 Hexadecimal E 3 F A 11102 00112 11112 10102 So the equivalent binary

More information

Chapter 5 Sequential Circuits

Chapter 5 Sequential Circuits Logic and Computer Design Fundamentals Chapter 5 Sequential Circuits Part 2 Sequential Circuit Design Charles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

EE6301 DIGITAL LOGIC CIRCUITS UNIT-I NUMBERING SYSTEMS AND DIGITAL LOGIC FAMILIES 1) What are basic properties of Boolean algebra? The basic properties of Boolean algebra are commutative property, associative

More information

Vignana Bharathi Institute of Technology UNIT 4 DLD

Vignana Bharathi Institute of Technology UNIT 4 DLD DLD UNIT IV Synchronous Sequential Circuits, Latches, Flip-flops, analysis of clocked sequential circuits, Registers, Shift registers, Ripple counters, Synchronous counters, other counters. Asynchronous

More information

FUNCTIONS OF COMBINATIONAL LOGIC

FUNCTIONS OF COMBINATIONAL LOGIC FUNCTIONS OF COMBINATIONAL LOGIC Agenda Adders Comparators Decoders Encoders Multiplexers Demultiplexers Adders Basic Adders Adders are important in computers other types of digital systems in which numerical

More information

EECS 270 Final Exam Spring 2012

EECS 270 Final Exam Spring 2012 EECS 270 Final Exam Spring 2012 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Page # Points 2 /20 3 /12 4 /10 5 /15

More information

Introduction to Digital Electronics

Introduction to Digital Electronics Introduction to Digital Electronics by Agner Fog, 2018-10-15. Contents 1. Number systems... 3 1.1. Decimal, binary, and hexadecimal numbers... 3 1.2. Conversion from another number system to decimal...

More information

I B.SC (INFORMATION TECHNOLOGY) [ ] Semester II CORE : DIGITAL COMPUTER FUNDAMENTALS - 212B Multiple Choice Questions.

I B.SC (INFORMATION TECHNOLOGY) [ ] Semester II CORE : DIGITAL COMPUTER FUNDAMENTALS - 212B Multiple Choice Questions. Dr.G.R.Damodaran College of Science (Autonomous, affiliated to the Bharathiar University, recognized by the UGC)Re-accredited at the 'A' Grade Level by the NAAC and ISO 9001:2008 Certified CRISL rated

More information

1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. [4] Figure 1.

1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. [4] Figure 1. [Question 1 is compulsory] 1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. Figure 1.1 b) Minimize the following Boolean functions:

More information

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York DEPARTMENT: SUBJECT CODE AND TITLE: COURSE DESCRIPTION: REQUIRED: Electrical and Telecommunications Engineering Technology TCET 3122/TC

More information

A.R. ENGINEERING COLLEGE, VILLUPURAM ECE DEPARTMENT

A.R. ENGINEERING COLLEGE, VILLUPURAM ECE DEPARTMENT .R. ENGINEERING COLLEGE, VILLUPURM ECE EPRTMENT QUESTION BNK SUB. NME: IGITL ELECTRONICS SUB. COE: EC223 SEM: III BRNCH/YER: ECE/II UNIT-I MINIMIZTION TECHNIQUESN LOGIC GTES PRT- ) efine Minterm & Maxterm.

More information

DHANALAKSHMI SRINIVASAN INSTITUTE OF RESEARCH AND TECHNOLOGY CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN. I Year/ II Sem PART-A TWO MARKS UNIT-I

DHANALAKSHMI SRINIVASAN INSTITUTE OF RESEARCH AND TECHNOLOGY CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN. I Year/ II Sem PART-A TWO MARKS UNIT-I DHANALAKSHMI SRINIVASAN INSTITUTE OF RESEARCH AND TECHNOLOGY CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN I Year/ II Sem PART-A TWO MARKS UNIT-I BOOLEAN ALGEBRA AND LOGIC GATES 1) What are basic properties

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

Chapter 3. Boolean Algebra and Digital Logic

Chapter 3. Boolean Algebra and Digital Logic Chapter 3 Boolean Algebra and Digital Logic Chapter 3 Objectives Understand the relationship between Boolean logic and digital computer circuits. Learn how to design simple logic circuits. Understand how

More information

EC6302-DIGITAL ELECTRONICS II YEAR /III SEMESTER ECE ACADEMIC YEAR

EC6302-DIGITAL ELECTRONICS II YEAR /III SEMESTER ECE ACADEMIC YEAR LECTURER NOTES ON EC6302-DIGITAL ELECTRONICS II YEAR /III SEMESTER ECE ACADEMIC YEAR 2014-2015 D.ANTONYPANDIARAJAN ASSISTANT PROFESSOR FMCET Introduction: The English mathematician George Boole (1815-1864)

More information

2 Marks Q&A. Digital Electronics. K. Michael Mahesh M.E.,MIET. Asst. Prof/ECE Dept.

2 Marks Q&A. Digital Electronics. K. Michael Mahesh M.E.,MIET. Asst. Prof/ECE Dept. 2 Marks Q&A Digital Electronics 3rd SEM CSE & IT ST. JOSEPH COLLEGE OF ENGINEERING (DMI & MMI GROUP OF INSTITUTIONS) CHENNAI- 600 117 K. Michael Mahesh M.E.,MIET. Asst. Prof/ECE Dept. K. Michael Mahesh

More information

SRM UNIVERSITY FACULTY OF ENGINEERING AND TECHNOLOGY SCHOOL OF ELECTRONICS AND ELECTRICAL ENGINEERING DEPARTMENT OF ECE COURSE PLAN

SRM UNIVERSITY FACULTY OF ENGINEERING AND TECHNOLOGY SCHOOL OF ELECTRONICS AND ELECTRICAL ENGINEERING DEPARTMENT OF ECE COURSE PLAN SRM UNIVERSITY FACULTY OF ENGINEERING AND TECHNOLOGY SCHOOL OF ELECTRONICS AND ELECTRICAL ENGINEERING DEPARTMENT OF ECE COURSE PLAN Course Code : EC0205 Course Title : DIGITAL SYSTEMS Semester : III Course

More information

1 Hour Sample Test Papers: Sample Test Paper 1. Roll No.

1 Hour Sample Test Papers: Sample Test Paper 1. Roll No. 6.1.2 Sample Test Papers: Sample Test Paper 1 Roll No. Institute Name: Course Code: EJ/EN/ET/EX/EV/IC/IE/IS/MU/DE/ED/ET/IU Subject: Principles of Digital Techniques Marks: 25 1 Hour 1. All questions are

More information

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari Sequential Circuits The combinational circuit does not use any memory. Hence the previous state of input does not have any effect on the present state of the circuit. But sequential circuit has memory

More information

Saturated Non Saturated PMOS NMOS CMOS RTL Schottky TTL ECL DTL I I L TTL

Saturated Non Saturated PMOS NMOS CMOS RTL Schottky TTL ECL DTL I I L TTL EC6302-DIGITAL ELECTRONICS UNIT I MINIMIZATION TECHNIQUES AND LOGIC GATES 1. Define binary logic? Binary logic consists of binary variables and logical operations. The variables are designated by the alphabets

More information

Prepared By Verified By Approved By Mr M.Kumar Mrs R.Punithavathi Dr. V.Parthasarathy Asst. Professor / IT HOD / IT Principal

Prepared By Verified By Approved By Mr M.Kumar Mrs R.Punithavathi Dr. V.Parthasarathy Asst. Professor / IT HOD / IT Principal DEPARTMENT OF INFORMATION TECHNOLOGY Question Bank Subject Name : Digital Principles and System Design Year / Sem : II Year / III Sem Batch : 2011 2015 Name of the Staff : Mr M.Kumar AP / IT Prepared By

More information

DIGITAL ELECTRONICS MCQs

DIGITAL ELECTRONICS MCQs DIGITAL ELECTRONICS MCQs 1. A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register. A. 1 B. 2 C. 4 D. 8

More information

DIGITAL ELECTRONICS LAB MANUAL FOR 2/4 B.Tech (ECE) COURSE CODE: EC-252

DIGITAL ELECTRONICS LAB MANUAL FOR 2/4 B.Tech (ECE) COURSE CODE: EC-252 DIGITAL ELECTRONICS LAB MANUAL FOR /4 B.Tech (ECE) COURSE CODE: EC-5 PREPARED BY P.SURENDRA KUMAR M.TECH, Lecturer D.SWETHA M.TECH, Lecturer T Srinivasa Rao M.TECH, Lecturer Ch.Madhavi, Lab Assistant 009-00

More information

Using minterms, m-notation / decimal notation Sum = Cout = Using maxterms, M-notation Sum = Cout =

Using minterms, m-notation / decimal notation Sum = Cout = Using maxterms, M-notation Sum = Cout = 1 Review of Digital Logic Design Fundamentals Logic circuits: 1. Combinational Logic: No memory, present output depends only on the present input 2. Sequential Logic: Has memory, present output depends

More information

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL 1. A stage in a shift register consists of (a) a latch (b) a flip-flop (c) a byte of storage (d) from bits of storage 2. To serially shift a byte of data into a shift register, there must be (a) one click

More information

1. True/False Questions (10 x 1p each = 10p) (a) I forgot to write down my name and student ID number.

1. True/False Questions (10 x 1p each = 10p) (a) I forgot to write down my name and student ID number. CprE 281: Digital Logic Midterm 2: Friday Oct 30, 2015 Student Name: Student ID Number: Lab Section: Mon 9-12(N) Mon 12-3(P) Mon 5-8(R) Tue 11-2(U) (circle one) Tue 2-5(M) Wed 8-11(J) Wed 6-9(Y) Thur 11-2(Q)

More information

OFC & VLSI SIMULATION LAB MANUAL

OFC & VLSI SIMULATION LAB MANUAL DEVBHOOMI INSTITUTE OF TECHNOLOGY FOR WOMEN, DEHRADUN - 24847 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING Prepared BY: Ajay Kumar Gautam Asst. Prof. Electronics & Communication Engineering

More information

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram UNIT III INTRODUCTION In combinational logic circuits, the outputs at any instant of time depend only on the input signals present at that time. For a change in input, the output occurs immediately. Combinational

More information

Semester 6 DIGITAL ELECTRONICS- core subject -10 Credit-4

Semester 6 DIGITAL ELECTRONICS- core subject -10 Credit-4 Semester 6 DIGITAL ELECTRONICS- core subject -10 Credit-4 Unit I Number system, Binary, decimal, octal, hexadecimal-conversion from one another-binary addition, subtraction, multiplication, division-binary

More information

Minnesota State College Southeast

Minnesota State College Southeast ELEC 2211: Digital Electronics II A. COURSE DESCRIPTION Credits: 4 Lecture Hours/Week: 2 Lab Hours/Week: 4 OJT Hours/Week: *.* Prerequisites: None Corequisites: None MnTC Goals: None Minnesota State College

More information

1.b. Realize a 5-input NOR function using 2-input NOR gates only.

1.b. Realize a 5-input NOR function using 2-input NOR gates only. . [3 points] Short Questions.a. Prove or disprove that the operators (,XOR) form a complete set. Remember that the operator ( ) is implication such that: A B A B.b. Realize a 5-input NOR function using

More information

Code No: A R09 Set No. 2

Code No: A R09 Set No. 2 Code No: A109210503 R09 Set No. 2 II B.Tech I Semester Examinations,November 2010 DIGITAL LOGIC DESIGN Computer Science And Engineering Time: 3 hours Max Marks: 75 Answer any FIVE Questions All Questions

More information

REPEAT EXAMINATIONS 2002

REPEAT EXAMINATIONS 2002 REPEAT EXAMINATIONS 2002 EE101 Digital Electronics Solutions Question 1. An engine has 4 fail-safe sensors. The engine should keep running unless any of the following conditions arise: o If sensor 2 is

More information