Circuit and System Design for DSP

Size: px
Start display at page:

Download "Circuit and System Design for DSP"

Transcription

1 lektronik abor Circuit and System Design for DSP Prof. Dr. Martin J. W. Schubert, Electronics Laboratory, OTH Regensburg, Regensburg, Germany

2 Circuit and System Design for DSP Principles and Practices Using Matlab and VHDL Abstract. An comprehensive example of an A/D and D/A conversion system is used to illustrate several aspects of digital signal processing, digital circuit design, A/D and D/A conversion and analog circuit design for signal conditioning. Advantage is taken from the numerical power of VHDL, Matlab and Spice. 1 Introduction 1.1 Objectives and Organization of this Document This document is intended for students learning time-discrete Signal processing, A/D and D/A conversion as well as electronic design automation using VHDL. It is a comprehensive example that teaches different aspects on the same system. Students within course System Concepts (SK) get a top-level understanding of digital signal processing (DSP) systems, e.g. how to trade speed versus resolution, using ΔΣ converters as work-around to avoid aliasing problems and expensive A/D or D/A converters, and how to manage the required data rate changes. Students of course Electronic Design Automation (RED: Rechnergestützter Entwurf Digital) concentrate on the questions how to translate the theory learned in SK into real-life circuitry. There is no need to make SK and RED at the same time, but formulae given RED will be better understood knowing SK, e.g. how to compute impulse responses of digital filters. Students learning A/D or D/A Converters (ADA) are focused on these data converters in chapter 2, introduce some non-linearity as detailed in chapter 5 and may develop some own Matlab tools to model and measure quality criteria related to A/D and D/A converters such as differential and integral non-linearity (DNL/INL), spurious free dynamic range (SFDR), total harmonic distortion (THD) of effective number of bits (ENOB). Last but not least, students learning analog circuit design (SC: Schaltungstechnik) get key circuits, respective formulae and applications for circuits performing analog signal conditioning. These circuits are offered as ready-to-use recipe, detailed explanation of their operation is given in the author s lectures of course Schaltungstechnik (SC)

3 Color code of this document: Black text is addressed to everybody. Grey text is useful information (e.g. concerning mathematics) without relevance for exams. Blue text indicates exercises / solutions. Green text is addressed to students learning A/D and D/A conversion only. Pink is addressed to students learning signal processing theory, Brown text is addressed to students learning VHDL-based electronic design automation only. The organization of this document is as follows: Section 1 is this Introduction, Section 2 makes the user familiar with VHDL related hardware: DE2, DA2 and DS2, boards, Section 3 introduces the A/D/A Conversion (ADAC) system used as example, Section 4 details advanced VHDL modeling techniques for the ADAC model, Section 5 teaches linear and non-linear scaling for Signal Conditioning purposes, Section 6 details Quantization from a mathematical point of view, Section 7 applies sections 5 and 6 to Matlab based A/D and D/A converter models, Section 8 describes Sampling Rate Issues (SK) on a high-level point of view, Section 9 presents Sampling Rate Issues (RED) from circuit designer s FSM point of view, Section 10 draws relevant Conclusion and Section 11 offers some References - 3 -

4 1.2 Modeling Levels There are different levels of system design. In this tutorial we use the top 3, i.e. specification, cycle based and event driven, according to the waterfall or V models. Table 1.2: Levels of Modeling Digital Systems Level Tools Comments Specification Words, Figs., On the top level specification, working with words, Pseudo-C figures and so called pseudo-c, i.e. not suitable for Cycle Based Event Driven, Concurrent, Data Flow Simultaneous, Conservative Matlab, System C/C++ VHDL, Verilog Spice simulation, C-like expressions to sketch algorithms. Top-level verification, x faster than VHDL: Assuming synchronously clocked FSM design we can model memory as bit vector mem and next-state logic as function f_ns(stimuli,mem). Then we can compute clock cycle i as mem(i+1) = f_ns(stimuli(i),mem(i)). Simulation of gates and registers respecting gate delays. This is also called data flow level, as energy conservation is lost. Suitable up to 100 nodes only, as for any time point at any node a solution for all nodes has to be computed. Uses equations based on energy conservation. Device Pisces 3D-simulation of single devices. After process simulation is done, 3-dimensional voltage and current densities are computed within a device model, typically with finite elements. Process Suprem 3D-simulation of the device production process, e.g. oxidation, etching, ion implantation, etc

5 2 Hardware Available Hardware and Software for Hands-on Training The course makes you familiar with the Terasic's DE2 board [1] using Altera's Cyclone II FPGA [2]. More documentation is found e.g. at [3]-[5]. It is assumed that you have Altera s Quartus II 8.1 software DE2 user manual [3] and the board s schematics [4]. The last version of Quartus supporting Cyclone II FPGAs is Quartus II 13.1 which can be obtained from Altera. At OTH Regensburg, Quartus II 8.1 and 13.1 can be obtained from the school s internal network [6]. The DE2-baord is employed to operate the self-made DA2 board, which is concerned with some basic A/D and D/A conversion design techniques. The DS2 grand child board assembles A/D and D/A converters on DA2 board to form a 1 st or 2 nd order ΔΣ modulator. Use of VHDL VHDL is not case sensitive. In the following KEYWORDS will be written in ALL CAPITAL LETTERS and user defined names in lowercase letters. Exception: Capitalized initials are used for composed self-made names, e.g. AddressBus or DataBus. Self-made data types begin with t_, e.g. t_statevector. Acknowledgements The author would like to thank Terasic Technologies [1] for admission to use screen copies of Terasic documentation for teaching purposes in this lectures. At :49, Terasic - Dong Liu wrote: Dear Martin, Thank you for using DE2 board to teach VHDL. Yes, you can open all DE2 design resources for teaching purpose. Thank you! Best Regards, Doreen Liu - 5 -

6 2.1 DE2 Board Document DE2_Introduction_box.pdf This subchapter can be done without the hardware. Have the Altera documentation available or look it up in the internet. DE2 board features: Which FPGA (with how many pin-package) is employed? Cyclone II 2 C35 in a 672 pin package... Specifications: What is the accurate name of the FPGA on the board? (You will have to select it in the Quartus II software) Cyclone II EP2C35F672C Document DE2_UserManual.pdf (from Internet) Get an orientation: Look at the Contents and Read the headlines of the five chapters. See Fig. 2.1 of the User Manual (as copied below from [3]): ->>> Docum. ERROR: JP1=GPIO_0 and JP2=GPIO_1 are exchanged in Fig. 2.1 above! Check the board on the image above: Where is the power-on switch, 9V DC Power in, USB Blaster Port, Run/Prog switch, LCD display module, the 7-segment displays, the 18 red and 9 green LEDs, the 18 toggle switches and 4 push buttons, the Cyclone II FPGA and the expansion headers JP1 and JP2, corresponding to GPIO_0 and GPIO_1, respectively? (On the DE2-70 Board we have the GPIO_x names only.) - 6 -

7 Questions Related to the FPGA How many phase-locked loops (PLLs) does the FPGA have? How many multipliers with how many bits in / out does the FPGA have? You ll find the I/O bit widths in document..\datasheets\cyclone_ii\cyc2_cii5v1_01.pdf on the DE2-CD. You ll find it in the internet or at OTHR in file CD_DE2_for_Quartus7.2.zip at K:\SB\Hardware\Altera\DE2_Board_Altera-Cyclone2-EPC2C35\CD_DE2_for_Quartus multipliers with 2 x 18-bits in and 36 bits out... The FPGA is connected with a Ball-Grid-Array (BGA). How many pins does it have?... Organized in how many rows and columns? x (You may find the answer later in the Quartus II software with Assignments Pins after correct settings of Assignments Device...) Questions Related to the LEDs and Switches See chapter 4.2 Using the LEDs and Switches in the DE2 User Manual [3] and check signal names with the definitions in file DE2_pin_assignments.csv [5]. What are the names of the signals connected to the 18 toggle switches? SW(0...17) What are the names of the signals connected to the 4 push buttons switches? key(0...3) What are the names of the signals connected to the 18 red LEDs? What are the names of the signals connected to the green LEDs? Which level (High/Low) will turn a red LED on? Which level (High/Low) will turn a green LED on? LEDR(0...17) LEDG(0...8) High High Questions Related to the 7-Segment (7seg) Displays See chapter "Using the 7-Segment Displays" in the User Manual. What are the names of the signals connected to the 7-segment (7seg) displays? HEX#, #= Which level (High/Low) will turn a LED of the 7-segment display on? Undriven 7-seg.-LEDs are ON. The FPGA sets undriven output pins to state Low..'0'

8 Questions Related to the Expansion Headers Fig from DE2 User Manual [3] shows an extraction of the schematic diagram. (For complete schematics see file DE2_schematics.pdf [4].) The schematics is drawn in unreadable - 8 -

9 parts. Complete the figure below to deliver a connectedly schematics for the circuitry from the FPGA to Pins 1 and 3 of both, JP1 (=GPIO_0) and JP2 (=GPIO_1). Label all elements: resistors incl. values, diodes, pins at the expansion headers and the FPGA, supply voltages, wire names and their VHDL signal names as defined in the DE2_pin_assignments.csv file [5]. Fig (a): Cutout of DE2_schematics.pdf [4]. Fig (a) shows a cutout of the DE2 schematics [4]. From left to right: Wire name, chippin-name, intended purpose. For us it is the bridge from wire name to pin name. for example wire GPIO_B25 is connected to pin K23. To find this by yourself use the "find" function in Acrobat reader to find strings like "GPIO_B25" or "K23"

10 VCC33 JP1 / GPIO_0 GPIO_B0 47 IO_A0 RN GND D3 TopView WireBond Altera-Cyclone2, P2C35F672C6 GPIO_0(0) A B C Pin D25 D E F G H I J K L M Pin M22 N O P GPIO_1(2) Q R S T U V W X Y Z AA AB AC AD AE AF GPIO_B2 Pin E26, GPIO_0(2) GPIO_B36 VCC33 GND VCC33 D5 Pin K25, GPIO_1(0) GND 47 RN28 47 RN37 D IO_A2 JP2 / GPIO_1 1 2 IO_B0 VCC33 GPIO_B38 47 RN37 IO_B2 3 4 GND D41 Figure (b): protection circuitry between chip and GPIO expansion header pin

11 2.1.3 Getting started with Operating the DE2 Board Observe the Board Check the DE2 board in your hands: Where is the power-on switch, 9V DC Power in, USB Blaster Port, Run/Prog switch, LCD display module, the 7-segment displays, the 18 red and 9 green LEDs, the 18 toggle switches and 4 push buttons, the Cyclone II FPGA and the expansion headers JP1 and JP2? What is the labeling mistake in Fig. 2.1 on page 6? Correction? exchange JP1 JP2... Find on the DE2 board all devices that you draw in the figure above. Where are D5 and D41? D5 and D41 are on the bottom side of the DE2 board.... Connect the power cable to the power plug and switch the red power button ON. All display elements should show some activity now due to a start-up procedure Installing and Starting the Quartus II Software (Who needs much more detailed explanations than given in this subchapter is referred to file tut_quartus_intro_vhdl.pdf (30 pages) within DE2-CD\DE2_tutorials\.) First of all we need some preconditions: Create an empty directory on your Windows operating system, name it de2_start. Start Quartus II 8.1 on your computer. Comment: In CIP pools of OTH Regensburg you can start Quartus II version 8.1 and 13 + This tutorial is made for Quartus II 8.1 suitable for the Cyclone II FPGAs on DE2-Boads + Quartus II 13 handles both, Cyclone II and IV FPGAs of DE2 and DE2-115 boards, resp. + Quartus II 13 is the last version knowing the Cyclone II FPGAs on DE2 boards. + Quartus II 8.1 delivers frequently less complicated synthesis results than Quartus II 13. Select menu point Create a New Project (New PROJECT Wizard) Next What is the working directory of the project? : Navigate to \de2_start What is the name of the project? : de2_start. Top-level entity name in the 3 rd line: de2_start (must match the project name). Click on Finish. Exit Quartus II 8.1 Look into your directory de2_start. There will be the three files (and probably others) de2_start.qpf, de2_start.qsf.... Double click left on the Quatus project file de2_start.qpf. You should now be at the same point as before exiting Quartus II. Quartus II: File New VHDL File

12 Copy entity de2_start from listing into this file. Check: The last line should be "END CONFIGURATION con_de2_start;". Click on save button ( a disc, third symbol from right in the top menu), accept file name de2_start. Compilation should work now: Processing Start Compilation. You should see Infos and warnings, but no errors. After some time you should see: "Full Compilation was successful (xxx warnings"). Click in the Project Navigator window on entry de2_start to see your VHDL code again. Look at the synthesized VHDL code: Quartus II: Tools -> Netlist Viewers -> RTL Viewer Listing : VHDL test file -- For Board: Altera DE2 with FPGA Cyclone II EP2C35F672C6 LIBRARY ieee; USE ieee.std_logic_1164.all,ieee.std_logic_signed.all; ENTITY de2_start IS PORT(CLOCK_50:IN std_logic; key:in std_logic_vector(3 DOWNTO 0); -- low when pressed sw:in std_logic_vector(17 DOWNTO 0); -- low when pulled down ledg:buffer std_logic_vector(8 DOWNTO 0); -- high active ledr:buffer std_logic_vector(17 DOWNTO 0); -- high active hex0,hex1,hex2,hex3,hex4,hex5,hex6,hex7:out std_logic_vector(0 TO 6); gpio_0:buffer std_logic_vector(35 DOWNTO 0); gpio_1:inout std_logic_vector(35 DOWNTO 0) ); END ENTITY de2_start; ARCHITECTURE rtl_de2_start OF de2_start IS TYPE t_7seg IS ARRAY(0 TO 15) OF std_logic_vector(0 TO 6); CONSTANT c7seg:t_7seg:=(" ", " ", " ", " ", " ", " ", " ", " ", " ", " ", " ", " ", " ", " ", " ", " "); BEGIN gpio_1(17 DOWNTO 0) <= sw; ledr(17 DOWNTO 0) <= sw(17 DOWNTO 0); ledg( 7 DOWNTO 4) <= key(3 DOWNTO 0); ledg( 3 DOWNTO 0) <= key(3 DOWNTO 0); ledg(8) <= sw(0); p_check_hex:process(sw(0)) BEGIN IF sw(0)='0' THEN hex0<=c7seg(0); hex1<=c7seg(1); hex2<=c7seg(2); hex3<=c7seg(3); hex4<=c7seg(4); hex5<=c7seg(5); hex6<=c7seg(6); hex7<=c7seg(7); ELSE hex0<=c7seg(8); hex1<=c7seg(9); hex2<=c7seg(10); hex3<=c7seg(11); hex4<=c7seg(12); hex5<=c7seg(13); hex6<=c7seg(14); hex7<=c7seg(15); END IF; END PROCESS p_check_hex; END ARCHITECTURE rtl_de2_start; CONFIGURATION con_de2_start OF de2_start IS FOR rtl_de2_start END FOR; END CONFIGURATION con_de2_start; So far we have no mapping of signals in the port of entity de2_start to DE2 hardware. Download file DE2_pin_assignments.csv [5] and look into it, e.g. using Notepad++ [7]. Line SW[0],PIN_N25 connects top-level entity signal SW(0) with FPGA package pin N25. Top-level entity signals with names not listed in DE2_pin_assignments.csv will be ignored without error message

13 Connecting the DE2 Hardware: Installing the USB Blaster Connect the DE2 board s USB Blaster Port via USB cable caple to your PC. Set the Run/Prog-Switch on Run, connect the USB-Blaster Port of the Board to an USB slot of your computer. (May be a The New Hardware Wizard appears.) To install the USB-Blaster for a stand-alone computer follow the instructions of tut_initialde2.pdf. (You have to install the usb_blaster by leading the system to path <Quartus_insatallation_directory>\quartus\drivers\usb-blaster.) In the Electronics Laboratory of OTH Regensburg you need to install the USB-Blaster with Quartus II 8.1. Quartus II 13.1 is also available. It is the last Quartus version supporting Cyclone II FPGAs, but it requires admin rights to install the USB blaster. After blaster installation with version 8.1 you can use version 13. Quartus II: Tools Programmer Hardware Setup. Double click left on USB-Blaster until you see this tring in the window Currently selected hardware. Then click Close. In the Programmer s window Hardware setup... you should now see the string USB- Blaster [USB-0]. Within the Programmer you should now see the file de2_start.sof, a binary file obtained by compilation, in a line with activated checkbox Program / Configure. The switch left to the 7-segment displays is set to RUN. Quartus II Programmer Start. You should now get an error message! This is because we must tell Quartus II which hardware has to be programmed! Running the DE2 Hardware doing the Necessary Assiningnments Copy file DE2_pin_assignments.csv [5] or into or your directory with project de2_start or its parent directory. Quartus II: Assignments Device... Family: Cyclone II Device Name: EP2C35F672C6 OK Quartus II: Assignments Pins: You see now the device setting above, but no pin names assigned close window. Quartus II: Processing Start Cmpilation. You should get a successful compilation! Quartus II: Tools Programmer Start. Blue LED LOAD on the DE2 board should turn on for a while and then the LED GOOD. Observe the DE2 boad immediately after pressing Programmer button Start. It should do something. But the programmed board behaves randomly because the pins are not yet assigned. Switching SWx (x=0...17) shouldn t change anything. To improve that: Quartus II: Assignments Import Assignments... <naviage to file>... DE2_pin_assignments.csv Open OK. Quartus II: Assignments Pins: You now see pin map with pins filled. Quartus II: Assignments Assignment Editor: You now see a bitg list of assignments. Compile and program file de2_start.sof into the FPGA. Now switch SWx should enlighten LEDRx (x=0...17) and SW0 should change the 7-segment display. Congratulations! You got it! Your board is ready to be tested now!

14 Commissioning Soft- and Hardware After successful download of file de2_start.sof into the FPGA we want to understand its functionality the VHDL code lines. The statements ledr(17 DOWNTO 0) <= sw(17 DOWNTO 0); ledg( 7 DOWNTO 4) <= key(3 DOWNTO 0); ledg( 3 DOWNTO 0) <= key(3 DOWNTO 0); allow to switch all red LEDs on and off using the toggle switches SW0 SW17 below the respective LEDs LEDR0 LEDR17. Try it! Does it work? Pushbuttons KEY0 KEY3 should allow to switch off the green LEDs LEDG0 LEDG3. When pushing KEY# (# = 0 3), then signal key(#) goes to state LOW... Not only LEDs are driven by the switches, also 18 pins of the expansion header JP2 (=GPIO_1) by line gpio_1(17 DOWNTO 0) <= sw; Which expansion header pin is driven by toggle switch SW0? Pin1 of JP2 (top left)... Exit Quartus II and delete all files exept de2_start.vhd, *.qpf and *.qsf and f*.sof. Use an ASCII editor to look into these files (e.g. with Notepad++ [7]): vhd: ASCII: VHDL file de2_start.vhd with the VHDL code, qpf: ASCII: Quartus Project File de2_start.qpf with project information, qsf: ASCII: Quartus Specification File de2_start.qsf with assignment information, [sof: Binary: de2_start.sof: ready for download in to the FPGA.] Having *.vhd, *.qpf and *.qsf we can easily recompile and get everything else back. There is no need to save the *.sof file, which can be used to program the FPGA without recompilation. Save directory de2_start continaing files de2_start.vhd, de2_start.qpf and de2_start.qsf

15 2.1.4 Using the 7-Segment Display Unfortunately, Quartus II does not support project renaming and copying projects ist tedious. To rename a project we d better create a new one. Copy directory de2_start de2_seg7. Within this new directory de2_seg7 rename file de2_start.vhd de2_seg7.vhd. delete all other files in directory directory de2_seg7, delete all other files in directory directory de2_seg7, Know where file DE2_pin_assignments.csv is located in your directory tee, Start QuartusII Create a New Project select working directory...\de2_seg7, name of the project: de2_seg7, Next click on "..." de2_7seg.vhd Open Add (don t forget to click on Add!) Next Cyclone II EP2C35F672C6 Next Next Finish Assignments Import Assignments (navigate ) DE2_pin_assignments.csv OK. Entity window: open (i.e. click on) de2_seg7. Edit Replace (Ctrl+H) Find: de2_start, Replace all by de2_seg7 Save. Processing Start Compilation Tools Programmer After programming your FPGA watch the 7-segment hexadecimal display, where every hex digit shows its index if SW0='0' or index+8 if SW0='1'. This is caused by the code lines IF sw(0)='0' THEN hex0<=c7seg(0); hex1<=c7seg(1); hex2<=c7seg(2); hex3<=c7seg(3); hex4<=c7seg(4); hex5<=c7seg(5); hex6<=c7seg(6); hex7<=c7seg(7); ELSE hex0<=c7seg(8); hex1<=c7seg(9); hex2<=c7seg(10); hex3<=c7seg(11); hex4<=c7seg(12); hex5<=c7seg(13); hex6<=c7seg(14); hex7<=c7seg(15); END IF; But something is wrong with the hex-displays! What? Repair it using VHDL operator NOT for bit-vectors! (e.g. not_vector <= NOT vector;). Note that hex0, hex1, are vectors. Remember: hex-display segments are driven by low-state! So: invert all driver to 7-segment-display elements: IF sw(0)='0' THEN hex0<=not c7seg(0); hex1<=not c7seg(1); hex2<=not c7seg(2); hex3<=not c7seg(3); hex4<=not c7seg(4); hex5<=not c7seg(5); hex6<=not c7seg(6); hex7<=not c7seg(7); ELSE hex0<=not c7seg(8); hex1<=not c7seg(9); hex2<=not c7seg(10); hex3<=not c7seg(11); hex4<=not c7seg(12); hex5<=not c7seg(13); hex6<=not c7seg(14); hex7<=not c7seg(15); END IF; After repairing the VHDL code save files *.vhd, *.qpf and *.qsf. and delete all other files. Then copy directory de2_seg7 to de2_dac, rename the contained file de2_seg7.vhd to de2_dac.vhd and delete all other files within directory de2_dac. Create project de2_dac with top-level entity de2_dac within directory de2_dac

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7 California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 322: Digital Design with VHDL Laboratory 7 Rational: The purpose of this lab is to become familiar in using

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8 CSCB58 - Lab 4 Clocks and Counters Learning Objectives The purpose of this lab is to learn how to create counters and to be able to control when operations occur when the actual clock rate is much faster.

More information

Lab 13: FPGA Circuit Realization Ian Callahan

Lab 13: FPGA Circuit Realization Ian Callahan Callahan 1 Lab 13: FPGA Circuit Realization Ian Callahan (ipc8@pitt.edu) Purpose The goal of this lab was to implement the circuit description from Lab 12 and implement it on a Field Programmable Gate

More information

SignalTap: An In-System Logic Analyzer

SignalTap: An In-System Logic Analyzer SignalTap: An In-System Logic Analyzer I. Introduction In this chapter we will learn 1 how to use SignalTap II (SignalTap) (Altera Corporation 2010). This core is a logic analyzer provided by Altera that

More information

DE2-115/FGPA README. 1. Running the DE2-115 for basic operation. 2. The code/project files. Project Files

DE2-115/FGPA README. 1. Running the DE2-115 for basic operation. 2. The code/project files. Project Files DE2-115/FGPA README For questions email: jeff.nicholls.63@gmail.com (do not hesitate!) This document serves the purpose of providing additional information to anyone interested in operating the DE2-115

More information

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems 1 P a g e Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems Lab 5 - VHDL for Sequential Circuits: Implementing a customized State Machine 15 Marks ( 2 weeks) Due

More information

Debugging of VHDL Hardware Designs on Altera s DE2 Boards

Debugging of VHDL Hardware Designs on Altera s DE2 Boards Debugging of VHDL Hardware Designs on Altera s DE2 Boards This tutorial presents some basic debugging concepts that can be helpful in creating VHDL designs for implementation on Altera s DE2 boards. It

More information

CHAPTER 3 EXPERIMENTAL SETUP

CHAPTER 3 EXPERIMENTAL SETUP CHAPTER 3 EXPERIMENTAL SETUP In this project, the experimental setup comprised of both hardware and software. Hardware components comprised of Altera Education Kit, capacitor and speaker. While software

More information

FPGA TechNote: Asynchronous signals and Metastability

FPGA TechNote: Asynchronous signals and Metastability FPGA TechNote: Asynchronous signals and Metastability This Doulos FPGA TechNote gives a brief overview of metastability as it applies to the design of FPGAs. The first section introduces metastability

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL School of Engineering, University of Guelph Fall 2017 1 Objectives: Start Date: Week #7 2017 Report Due Date: Week #8 2017, in the

More information

ET398 LAB 4. Concurrent Statements, Selection and Process

ET398 LAB 4. Concurrent Statements, Selection and Process ET398 LAB 4 Concurrent Statements, Selection and Process Decoders/Multiplexers February 16, 2013 Tiffany Turner OBJECTIVE The objectives of this lab were for us to become more adept at creating VHDL code

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

Laboratory Exercise 4

Laboratory Exercise 4 Laboratory Exercise 4 Polling and Interrupts The purpose of this exercise is to learn how to send and receive data to/from I/O devices. There are two methods used to indicate whether or not data can be

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

Table of Contents Introduction

Table of Contents Introduction Page 1/9 Waveforms 2015 tutorial 3-Jan-18 Table of Contents Introduction Introduction to DAD/NAD and Waveforms 2015... 2 Digital Functions Static I/O... 2 LEDs... 2 Buttons... 2 Switches... 2 Pattern Generator...

More information

1 Terasic Inc. D8M-GPIO User Manual

1  Terasic Inc. D8M-GPIO User Manual 1 Chapter 1 D8M Development Kit... 4 1.1 Package Contents... 4 1.2 D8M System CD... 5 1.3 Assemble the Camera... 5 1.4 Getting Help... 6 Chapter 2 Introduction of the D8M Board... 7 2.1 Features... 7 2.2

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) Quiz #2 - Spring 2003 Prof. Anantha Chandrakasan and Prof. Don

More information

LAB 3 Verilog for Combinatorial Circuits

LAB 3 Verilog for Combinatorial Circuits Goals LAB 3 Verilog for Combinatorial Circuits Learn how to design combinatorial circuits using Verilog. Design a simple circuit that takes a 4-bit binary number and drives the 7-segment display so that

More information

Individual Project Report

Individual Project Report EN 3542: Digital Systems Design Individual Project Report Pseudo Random Number Generator using Linear Feedback shift registers Index No: Name: 110445D I.W.A.S.U. Premaratne 1. Problem: Random numbers are

More information

THDB_ADA. High-Speed A/D and D/A Development Kit

THDB_ADA. High-Speed A/D and D/A Development Kit THDB_ADA High-Speed A/D and D/A Development Kit With complete reference design and source code for Fast-Fourier Transform analysis and arbitrary waveform generator. 1 CONTENTS Chapter 1 About the Kit...2

More information

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 65 MSPS DUAL ADC

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 65 MSPS DUAL ADC LTC2286, LTC2287, LTC2288, LTC2290, LTC2291, LTC2292, LTC2293, LTC2294, LTC2295, LTC2296, LTC2297, LTC2298 or LTC2299 DESCRIPTION Demonstration circuit 816 supports a family of s. Each assembly features

More information

Laboratory 4. Figure 1: Serdes Transceiver

Laboratory 4. Figure 1: Serdes Transceiver Laboratory 4 The purpose of this laboratory exercise is to design a digital Serdes In the first part of the lab, you will design all the required subblocks for the digital Serdes and simulate them In part

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

TV Character Generator

TV Character Generator TV Character Generator TV CHARACTER GENERATOR There are many ways to show the results of a microcontroller process in a visual manner, ranging from very simple and cheap, such as lighting an LED, to much

More information

STATIC RANDOM-ACCESS MEMORY

STATIC RANDOM-ACCESS MEMORY STATIC RANDOM-ACCESS MEMORY by VITO KLAUDIO OCTOBER 10, 2015 CSC343 FALL 2015 PROF. IZIDOR GERTNER Table of contents 1. Objective... pg. 2 2. Functionality and Simulations... pg. 4 2.1 SR-LATCH... pg.

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Introduction In labs 11 and 12 you built simple logic circuits on breadboards using TTL logic circuits on 7400 series chips. This process is simple and easy for small circuits.

More information

DT9857E. Key Features: Dynamic Signal Analyzer for Sound and Vibration Analysis Expandable to 64 Channels

DT9857E. Key Features: Dynamic Signal Analyzer for Sound and Vibration Analysis Expandable to 64 Channels DT9857E Dynamic Signal Analyzer for Sound and Vibration Analysis Expandable to 64 Channels The DT9857E is a high accuracy dynamic signal acquisition module for noise, vibration, and acoustic measurements

More information

OpenXLR8: How to Load Custom FPGA Blocks

OpenXLR8: How to Load Custom FPGA Blocks OpenXLR8: How to Load Custom FPGA Blocks Webinar Breakdown: Introduc*on to pseudorandom number generator (LFSR) code Review of Verilog wrapper interface to microcontroller Simula*on with Mentor Graphics

More information

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017 University of Texas at El Paso Electrical and Computer Engineering Department EE 2169 Laboratory for Digital Systems Design I Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift

More information

ANALOG TO VGA VIDEO INTERFACE GREGORY M. REDMAN. A technical report submitted to the Graduate School. In partial fulfillment of the requirements

ANALOG TO VGA VIDEO INTERFACE GREGORY M. REDMAN. A technical report submitted to the Graduate School. In partial fulfillment of the requirements ANALOG TO VGA VIDEO INTERFACE BY GREGORY M. REDMAN A technical report submitted to the Graduate School In partial fulfillment of the requirements for the degree Master of Sciences, Engineering Specialization

More information

Lab 4: Hex Calculator

Lab 4: Hex Calculator CpE 487 Digital Design Lab Lab 4: Hex Calculator 1. Introduction In this lab, we will program the FPGA on the Nexys2 board to function as a simple hexadecimal calculator capable of adding and subtracting

More information

Implementing VGA Application on FPGA using an Innovative Algorithm with the help of NIOS-II

Implementing VGA Application on FPGA using an Innovative Algorithm with the help of NIOS-II Implementing VGA Application on FPGA using an Innovative Algorithm with the help of NIOS-II Ashish B. Pasaya 1 1 E & C Engg. Department, Sardar Vallabhbhai Patel institute of technology, Vasad, Gujarat,

More information

Laboratory Exercise 6

Laboratory Exercise 6 Laboratory Exercise 6 The purpose of this exercise is to investigate latches, flip-flops, and counters. Part I Altera FPGAs include flip-flops that are available for implementing a user s circuit. We will

More information

Lab #12: 4-Bit Arithmetic Logic Unit (ALU)

Lab #12: 4-Bit Arithmetic Logic Unit (ALU) Lab #12: 4-Bit Arithmetic Logic Unit (ALU) ECE/COE 0501 Date of Experiment: 4/3/2017 Report Written: 4/5/2017 Submission Date: 4/10/2017 Nicholas Haver nicholas.haver@pitt.edu 1 H a v e r PURPOSE The purpose

More information

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 2200

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 2200 DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 2200 Tutorial 1. Xilinx Integrated Software Environment (ISE) Tools Objectives: 1. Familiarize yourself with

More information

Lab 2: Hardware/Software Co-design with the Wimp51

Lab 2: Hardware/Software Co-design with the Wimp51 Lab 2: Hardware/Software Co-design with the Wimp51 CpE 214: Digital Engineering Lab II Last revised: February 26, 2013 (CAC) Hardware software co-design, now standard in industry, is an approach that brings

More information

COMPUTER ENGINEERING PROGRAM

COMPUTER ENGINEERING PROGRAM COMPUTER ENGINEERING PROGRAM California Polytechnic State University CPE 169 Experiment 6 Introduction to Digital System Design: Combinational Building Blocks Learning Objectives 1. Digital Design To understand

More information

FPGA Development for Radar, Radio-Astronomy and Communications

FPGA Development for Radar, Radio-Astronomy and Communications John-Philip Taylor Room 7.03, Department of Electrical Engineering, Menzies Building, University of Cape Town Cape Town, South Africa 7701 Tel: +27 82 354 6741 email: tyljoh010@myuct.ac.za Internet: http://www.uct.ac.za

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Digital Logic. ECE 206, Fall 2001: Lab 1. Learning Objectives. The Logic Simulator

Digital Logic. ECE 206, Fall 2001: Lab 1. Learning Objectives. The Logic Simulator Learning Objectives ECE 206, : Lab 1 Digital Logic This lab will give you practice in building and analyzing digital logic circuits. You will use a logic simulator to implement circuits and see how they

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1 Debugging of Verilog Hardware Designs on Altera s DE-Series Boards For Quartus Prime 15.1 1 Introduction This tutorial presents some basic debugging concepts that can be helpful in creating Verilog designs

More information

Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems

Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems 1 P a g e Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems Lab 6 35 Marks (3 weeks) Design of a Simple General-Purpose Processor Due Date: Week 12 Objective:

More information

Digital Systems Laboratory 1 IE5 / WS 2001

Digital Systems Laboratory 1 IE5 / WS 2001 Digital Systems Laboratory 1 IE5 / WS 2001 university of applied sciences fachhochschule hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK digital and microprocessor systems laboratory In this course you

More information

Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System

Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System R. NARESH M. Tech Scholar, Dept. of ECE R. SHIVAJI Assistant Professor, Dept. of ECE PRAKASH J. PATIL Head of Dept.ECE,

More information

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York DEPARTMENT: SUBJECT CODE AND TITLE: COURSE DESCRIPTION: REQUIRED: Electrical and Telecommunications Engineering Technology TCET 3122/TC

More information

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018 Petter Källström, Mario Garrido September 10, 2018 Abstract In the initialization of the DE2-115 (after you restart it), an image is copied into the SRAM memory. What you have to do in this lab is to read

More information

Hardware Modeling of Binary Coded Decimal Adder in Field Programmable Gate Array

Hardware Modeling of Binary Coded Decimal Adder in Field Programmable Gate Array American Journal of Applied Sciences 10 (5): 466-477, 2013 ISSN: 1546-9239 2013 M.I. Ibrahimy et al., This open access article is distributed under a Creative Commons Attribution (CC-BY) 3.0 license doi:10.3844/ajassp.2013.466.477

More information

Spartan-II Development System

Spartan-II Development System 2002-May-4 Introduction Dünner Kirchweg 77 32257 Bünde Germany www.trenz-electronic.de The Spartan-II Development System is designed to provide a simple yet powerful platform for FPGA development, which

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

Main Design Project. The Counter. Introduction. Macros. Procedure

Main Design Project. The Counter. Introduction. Macros. Procedure Main Design Project Introduction In order to gain some experience with using macros we will exploit some of the features of our boards to construct a counter that will count from 0 to 59 with the counts

More information

Step 1 - shaft decoder to generate clockwise/anticlockwise signals

Step 1 - shaft decoder to generate clockwise/anticlockwise signals Workshop Two Shaft Position Encoder Introduction Some industrial automation applications require control systems which know the rotational position of a shaft. Similar devices are also used for digital

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 105 MSPS ADC

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT /12/14 BIT 10 TO 105 MSPS ADC LTC2280, LTC2282, LTC2284, LTC2286, LTC2287, LTC2288 LTC2289, LTC2290, LTC2291, LTC2292, LTC2293, LTC2294, LTC2295, LTC2296, LTC2297, LTC2298 or LTC2299 DESCRIPTION Demonstration circuit 851 supports a

More information

LAB 3 Verilog for Combinational Circuits

LAB 3 Verilog for Combinational Circuits Goals To Do LAB 3 Verilog for Combinational Circuits Learn how to implement combinational circuits using Verilog. Design and implement a simple circuit that controls the 7-segment display to show a 4-bit

More information

Laboratory Exercise 3

Laboratory Exercise 3 Laboratory Exercise 3 Latches, Flip-flops, and egisters The purpose of this exercise is to investigate latches, flip-flops, and registers. Part I Altera FPGAs include flip-flops that are available for

More information

Digital Systems Design

Digital Systems Design ECOM 4311 Digital Systems Design Eng. Monther Abusultan Computer Engineering Dept. Islamic University of Gaza Page 1 ECOM4311 Digital Systems Design Module #2 Agenda 1. History of Digital Design Approach

More information

Using the XSV Board Xchecker Interface

Using the XSV Board Xchecker Interface Using the XSV Board Xchecker Interface May 1, 2001 (Version 1.0) Application Note by D. Vanden Bout Summary This application note shows how to configure the XC9510 CPLD on the XSV Board to enable the programming

More information

COE758 Xilinx ISE 9.2 Tutorial 2. Integrating ChipScope Pro into a project

COE758 Xilinx ISE 9.2 Tutorial 2. Integrating ChipScope Pro into a project COE758 Xilinx ISE 9.2 Tutorial 2 ChipScope Overview Integrating ChipScope Pro into a project Conventional Signal Sampling Xilinx Spartan 3E FPGA JTAG 2 ChipScope Pro Signal Sampling Xilinx Spartan 3E FPGA

More information

AN ADVERTICING MODUL, DEVELOPED ON THE BASE OF A CPLD PROGRAMABLE DEVICE

AN ADVERTICING MODUL, DEVELOPED ON THE BASE OF A CPLD PROGRAMABLE DEVICE AN ADVERTICING MODUL, DEVELOPED ON THE BASE OF A CPLD PROGRAMABLE DEVICE Ivan Simeonov Simeonov Computer System and Technologies TU Gabrovo, 4 Hadji Dimitar St., (5300) Gabrovo, Bulgaria, Tel. +359 66

More information

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0.

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0. Entry Level Tool II Reference Manual, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Version : 1.0.3 Date : October 7, 2005 Copyright 2005-2006,, Inc. (SLS) All

More information

Altera s Max+plus II Tutorial

Altera s Max+plus II Tutorial Altera s Max+plus II Tutorial Written by Kris Schindler To accompany Digital Principles and Design (by Donald D. Givone) 8/30/02 1 About Max+plus II Altera s Max+plus II is a powerful simulation package

More information

Good afternoon! My name is Swetha Mettala Gilla you can call me Swetha.

Good afternoon! My name is Swetha Mettala Gilla you can call me Swetha. Good afternoon! My name is Swetha Mettala Gilla you can call me Swetha. I m a student at the Electrical and Computer Engineering Department and at the Asynchronous Research Center. This talk is about the

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package November 1999, ver. 1.02 User Guide Introduction The University Program Design Laboratory Package was designed to meet the needs of universities teaching digital

More information

Using the Quartus II Chip Editor

Using the Quartus II Chip Editor Using the Quartus II Chip Editor June 2003, ver. 1.0 Application Note 310 Introduction Altera FPGAs have made tremendous advances in capacity and performance. Today, Altera Stratix and Stratix GX devices

More information

Main Design Project. The Counter. Introduction. Macros. Procedure

Main Design Project. The Counter. Introduction. Macros. Procedure Main Design Project Introduction In order to gain some experience with using macros we will exploit some of the features of our boards to construct a counter that will count from 0 to 59 with the counts

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

Implementing Audio IP in SDI II on Arria V Development Board

Implementing Audio IP in SDI II on Arria V Development Board Implementing Audio IP in SDI II on Arria V Development Board AN-697 Subscribe This document describes a reference design that uses the Audio Embed, Audio Extract, Clocked Audio Input and Clocked Audio

More information

Flip-flop and Registers

Flip-flop and Registers ECE 322 Digital Design with VHDL Flip-flop and Registers Lecture Textbook References n Sequential Logic Review Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 2 nd or

More information

Laboratory 9 Digital Circuits: Flip Flops, One-Shot, Shift Register, Ripple Counter

Laboratory 9 Digital Circuits: Flip Flops, One-Shot, Shift Register, Ripple Counter page 1 of 5 Digital Circuits: Flip Flops, One-Shot, Shift Register, Ripple Counter Introduction In this lab, you will learn about the behavior of the D flip-flop, by employing it in 3 classic circuits:

More information

Experiment 2: Sampling and Quantization

Experiment 2: Sampling and Quantization ECE431, Experiment 2, 2016 Communications Lab, University of Toronto Experiment 2: Sampling and Quantization Bruno Korst - bkf@comm.utoronto.ca Abstract In this experiment, you will see the effects caused

More information

ASTRIX ASIC Microelectronics Presentation Days

ASTRIX ASIC Microelectronics Presentation Days ASTRIX ASIC Microelectronics Presentation Days ESTEC, Noordwijk, 4 th and 5 th February 2004 Matthieu Dollon matthieu.dollon@astrium.eads.net Franck Koebel franck.koebel@astrium.eads.net Page 1 - ESA 4

More information

AD9884A Evaluation Kit Documentation

AD9884A Evaluation Kit Documentation a (centimeters) AD9884A Evaluation Kit Documentation Includes Documentation for: - AD9884A Evaluation Board - SXGA Panel Driver Board Rev 0 1/4/2000 Evaluation Board Documentation For the AD9884A Purpose

More information

Optimization of memory based multiplication for LUT

Optimization of memory based multiplication for LUT Optimization of memory based multiplication for LUT V. Hari Krishna *, N.C Pant ** * Guru Nanak Institute of Technology, E.C.E Dept., Hyderabad, India ** Guru Nanak Institute of Technology, Prof & Head,

More information

LED Array Tutorial. This guide explains how to set up and operate the LED arrays that can be used for your. Internal Structure of LED Array

LED Array Tutorial. This guide explains how to set up and operate the LED arrays that can be used for your. Internal Structure of LED Array LED Array Tutorial This guide explains how to set up and operate the LED arrays that can be used for your final EE 271 project. This tutorial is directed towards the FYM12882AEG 8x8 LED array, but these

More information

C8188 C8000 1/10. digital audio modular processing system. 4 Channel AES/EBU I/O. features. block diagram. 4 balanced AES inputs

C8188 C8000 1/10. digital audio modular processing system. 4 Channel AES/EBU I/O. features. block diagram. 4 balanced AES inputs features 4 balanced AES inputs Input Sample Rate Converters (SRC) 4 balanced AES outputs Relay bypass for pairs of I/Os Relay wait time after power up Master mode (clock master for the frame) 25pin Sub-D,

More information

Data Acquisition Using LabVIEW

Data Acquisition Using LabVIEW Experiment-0 Data Acquisition Using LabVIEW Introduction The objectives of this experiment are to become acquainted with using computer-conrolled instrumentation for data acquisition. LabVIEW, a program

More information

DMC550 Technical Reference

DMC550 Technical Reference DMC550 Technical Reference 2002 DSP Development Systems DMC550 Technical Reference 504815-0001 Rev. B September 2002 SPECTRUM DIGITAL, INC. 12502 Exchange Drive, Suite 440 Stafford, TX. 77477 Tel: 281.494.4505

More information

ME EN 363 ELEMENTARY INSTRUMENTATION Lab: Basic Lab Instruments and Data Acquisition

ME EN 363 ELEMENTARY INSTRUMENTATION Lab: Basic Lab Instruments and Data Acquisition ME EN 363 ELEMENTARY INSTRUMENTATION Lab: Basic Lab Instruments and Data Acquisition INTRODUCTION Many sensors produce continuous voltage signals. In this lab, you will learn about some common methods

More information

Digital Electronics II 2016 Imperial College London Page 1 of 8

Digital Electronics II 2016 Imperial College London Page 1 of 8 Information for Candidates: The following notation is used in this paper: 1. Unless explicitly indicated otherwise, digital circuits are drawn with their inputs on the left and their outputs on the right.

More information

UNIT V 8051 Microcontroller based Systems Design

UNIT V 8051 Microcontroller based Systems Design UNIT V 8051 Microcontroller based Systems Design INTERFACING TO ALPHANUMERIC DISPLAYS Many microprocessor-controlled instruments and machines need to display letters of the alphabet and numbers. Light

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package October 2001, ver. 2.0 User Guide Introduction The University Program (UP) Design Laboratory Package was designed to meet the needs of universities teaching

More information

Lab 3: VGA Bouncing Ball I

Lab 3: VGA Bouncing Ball I CpE 487 Digital Design Lab Lab 3: VGA Bouncing Ball I 1. Introduction In this lab, we will program the FPGA on the Nexys2 board to display a bouncing ball on a 640 x 480 VGA monitor connected to the VGA

More information

FSM Implementations. TIE Logic Synthesis Arto Perttula Tampere University of Technology Fall Output. Input. Next. State.

FSM Implementations. TIE Logic Synthesis Arto Perttula Tampere University of Technology Fall Output. Input. Next. State. FSM Implementations TIE-50206 Logic Synthesis Arto Perttula Tampere University of Technology Fall 2016 Input Next State Current state Output Moore Acknowledgements Prof. Pong P. Chu provided official slides

More information

Design Problem 4 Solutions

Design Problem 4 Solutions CSE 260 Digital Computers: Organization and Logical Design Jon Turner Design Problem 4 Solutions In this problem, you are to design, simulate and implement a maze game on the S3 board, using VHDL. This

More information

ET-REMOTE DISTANCE. Manual of ET-REMOTE DISTANCE

ET-REMOTE DISTANCE. Manual of ET-REMOTE DISTANCE ET-REMOTE DISTANCE ET-REMOTE DISTANCE is Distance Measurement Module by Ultrasonic Waves; it consists of 2 important parts. Firstly, it is the part of Board Ultrasonic (HC-SR04) that includes sender and

More information

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder JTulasi, TVenkata Lakshmi & MKamaraju Department of Electronics and Communication Engineering, Gudlavalleru Engineering College,

More information

Prime Num Generator - Maker Faire 2014

Prime Num Generator - Maker Faire 2014 Prime Num Generator - Maker Faire 2014 Experimenting with math in hardware Stanley Ng, Altera Synopsis The Prime Number Generator ( PNG ) counts from 1 to some number (273 million, on a Cyclone V C5 device)

More information

Cyclone II EPC35. M4K = memory IOE = Input Output Elements PLL = Phase Locked Loop

Cyclone II EPC35. M4K = memory IOE = Input Output Elements PLL = Phase Locked Loop FPGA Cyclone II EPC35 M4K = memory IOE = Input Output Elements PLL = Phase Locked Loop Cyclone II (LAB) Cyclone II Logic Element (LE) LAB = Logic Array Block = 16 LE s Logic Elements Another special packing

More information

Design of VGA Controller using VHDL for LCD Display using FPGA

Design of VGA Controller using VHDL for LCD Display using FPGA International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of VGA Controller using VHDL for LCD Display using FPGA Khan Huma Aftab 1, Monauwer Alam 2 1, 2 (Department of ECE, Integral

More information

Combo Board.

Combo Board. Combo Board www.matrixtsl.com EB083 Contents About This Document 2 General Information 3 Board Layout 4 Testing This Product 5 Circuit Diagram 6 Liquid Crystal Display 7 Sensors 9 Circuit Diagram 10 About

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package August 1997, ver. 1 User Guide Introduction The University Program Design Laboratory Package was designed to meet the needs of universities teaching digital

More information

ECT 224: Digital Computer Fundamentals Digital Circuit Simulation & Timing Analysis

ECT 224: Digital Computer Fundamentals Digital Circuit Simulation & Timing Analysis ECT 224: Digital Computer Fundamentals Digital Circuit Simulation & Timing Analysis 1) Start the Xilinx ISE application, open Start All Programs Xilinx ISE 9.1i Project Navigator or use the shortcut on

More information

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course Session Number 1532 Adding Analog and Mixed Signal Concerns to a Digital VLSI Course John A. Nestor and David A. Rich Department of Electrical and Computer Engineering Lafayette College Abstract This paper

More information

Using HERON modules with FPGAs to connect to FPDP

Using HERON modules with FPGAs to connect to FPDP HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)1278 760188, Fax: (+44) (0)1278 760199, Email: sales@hunteng.co.uk www.hunteng.co.uk www.hunt-dsp.com Using

More information