How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies

Size: px
Start display at page:

Download "How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies"

Transcription

1 How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies V. Twines, C. Archambuult, B. Hinschberger, E. Rouchouze ST Microelectronic Crolles 850 rue Jean Monner Crolles France {vinceni.turines, caroline.archambault, benoii. hinschberger, S. Bos-Larenzo, 0. Moreuu KlA-Tencor France 32 ch. Du Vieux Ch&e Meylan France (Sandra. bos, kla-iencor. com Abstract As the microelectronic industry is simultaneously shrinking design rules to 0.13pm and below and integrating copper technology, new defectivity challenges appear. The requirements associated with these technology nodes include the efficient inspection of ever smaller features not only on known layers, but also in newer steps of the Cu damascene process, as well as the ability to characterize and monitor new lithography processes. In order to answer these needs, a brightfield UV inspection tool integrating advanced optical noise suppression and innovative image processing has been evaluated. This paper describes some recommendations for the capture of critical defects along with the inspection methodologies developed in order to characterize advanced technology modules. As an illustration, the defect detection strategies implemented on 3 different critical process steps (Shallow Trench Isolation (STIj Oxide Nitride removal, line litho after develop and Cu Chemical Mechanical Polishing (CMP)) are presented. In addition, a method qualifying the 193nm technology node process development is detailed, in which timeto-result was drastically decreased. Keywords UV wafer inspection tool, defect inspection methodologies, 193nm photolithography process, Cu damascene process 1. Introduction The transition to copper, low k dielectrics and small design rules is creating new challenges for semiconductor manufacturers. Defects that were non- critical for previous process technologies and design rules, are now becoming yield detractors. In addition, new processes in areas such as photolithography and CMP are leading to new defect type occurrences. An increasing need for wafer inspection tools that have the resolution, material contrast and noise suppression capabilities to capture these new killer defects has emerged. At the same time, the ability to deliver useful yield information in the shortest time scale is becoming more and more critical. The KLA-Tencor 2351 inspection tool provides a set of new hardware and software features to meet these technology challenges [1,2]. An evaluation has been performed on some of the most critical steps of advanced technologies (130nm and 90nm design rules) at ST Microelectronics Crolles, France, in order to determine the most appropriate inspection conditions. Four case studies (STl ON etch, line litho after develop, Cu CMP and a 193nm photo contact process monitoring) are presented hereafter. For each application, some recommendations for the capture of critical defects along with the Best Know Methods (BKMj developed in order to characterize these modules will be described. 2. Methodology Based upon the 21XX technology, the KLA- Tencor 2351 micro inspection tool features selectable W or visible illumination and 5 different pixel sizes to enable the resolution of pattern and defects for 130nm production and 90nm development applications selectable band flexibility in a broad illumination spectrum (Broad Band (BB) W, Narrow Band (NBj W or Broad Band visible) /03/ WO03 IEEE IEEHSEMI Advanced Manufacturing Conference

2 provides improved material contrast and resolution. Moreover the tool offers advanced additional optical modes (Edge Contrastm (EC) & Full Sky" (FS)) for improved sensitivity and defect capture on Front- End-Of-Line (FEOL) and Back-End-C)f-Line (BEOL) layers. Sophisticated image prwessing algorithms such as Wafer Inspection Sensitivity Enhancer (WISE), and the improved Auto-SAT (Segmented Auto Threshold) provide shorter lime to set-up and improved signal to noise ralio on challenging layers. Finally In-line Automated Defect Classification (iadc) gives accurate binning for faster analysis and real time defect classification during inspection thus reducing time to result [3]. Table 1 below is summarizing the improved 2351 hardware and software features um pm EC Table 1: Hardware and software features provided hy the 2351 inspectian tool. Optid Resdution SignaVNoise enhancements COO enhancements FeatUl,es Pixel sizes 0.62pm, 0.39@m, 0.25pm, 0.20pm,O.ltjpm UV and visible illumination NB and BB spectrum in W I EC,FS I WISE, Auto-SAT ' I Increasedthroughput I iaix In order to maximize defect capturf! and determine the best inspection parameters to answer production needs, an evaluation has been petiormed across multiple critical steps of some advanced technologies (130nm and 90nm design rules) 011 logic type devices. The examples below have been si!lected because of gaps experienced with the current inspection capabilities. 3. Applications 3.1. STI Oxide Nitride removal (ON etch) Voids in STI might be a major yield detractors in the Front End of Line for advanced technology nodes. Some are due to particles landing on the wafer during Chemical Vapor Deposition (CVD) of the oxide in the trenches and then removed by the CMP process. They finally leave a small hole in the STI. These defects are known to generate leakage, yield or even reliability issues. However they can be difficult to detect with standard inspection tools due to their small size and low signal to noise ratio. Figure 1: Defect capture comparison between the 2139 and 2351 inspection tools run using the same pixel size. Use of EC mode enhances the sensitivity to defects of interest (DOI). CMF' p-scratch of the main defect types of 'I ON Etch The aim of 2351 trial on this layer was to improve in-line detection of these defects that have a low capture rate on the current 2139 inspection tools even with the most aggressive settings. Therefore, one wafer representative of the process was selected to perform a thorough comparison between an inspection at 0.25pm pixel size on 2139 and another one at 0.25pm visible EC on For comparison purposes a 0.25pm visible Brightfield (BF) inspection was set-up on 2351: it gave results similar to 2139 at twice the throughput. The wafer maps presented in Figure 1 highlights the difference in defect capture between the two IEEWSEMI Advanced Manufacturing Conference

3 inspections. The Normalized Defect Density (NDD) was calculated based on the manual defect classification of a random sample of defects performed on a Scanning Electron Microscope (SEMI review tool (SEM images of the main defect types are presented Figure 2). A clear gain in sensitivity is obtained for STI voids, CMF' micre scratches and extra active areas due to the use of EC mode rather than BF mode. Moreover, EC mode is less sensitive to previous layer defects and embedded particles, defects of less interest at that particular process step given that they would be detected at previous inspection steps in a typical Control Plan. Use case; STI pitting on pattern edges after ON Etch process step A new challenge was encountered during ON etch process tuning: pitting on the oxide layer was observed during manual SEM review, however, it was impossible to detect this problem on standard 2139 inspection tool or even with a 0.16pm W BF inspection on EC mode was instrumental for enhancing the detection of this critical defect due to improved contrast on pattern edges leading to a better S/N ratio. The resulting wafer map is presented Figure 3 along with SEM images of some of the defects (Figure 4). Using this new optical mode allowed not only to detect this pining problem, but also to visualize a wafer-level signature. This helped a lot for the identification of the defect root cause. Wafer center signature of pitting detected thanks to 0.25 pn Vis EC mode As a conclusion, relevant results were obtained in terms of detection of tiny voids in the oxide after STI ON Etch. Thanks to the EC mode, it has been possible to detect and track very small defects that until then were very difficult to capture on this specific level. On 2351, the 0.25pm pixel size using EC mode is the best production choice for killer defect capture at STI ON etch process step. In the production environment this is a key factor for reliable process control. 3.2.C~ CMP Copper CMF' level is a compulsory inspection step to identify BEOL yield detractors [4,5]. However this level can be impacted hy a lot of different defect types, sometimes with very high counts (Cu corrosion, scratches, surface particles). A lot of these defects are of low interest (either nuisance or defects of known origin). It is therefore mandatory to be able to focus on Defects Of Interest (DOI). In this respect, Wafer Inspection Sensitivity Enhancer (WISE) on 2351 has been evaluated. After an initial teaching phase, the WISE image-processing algorithm is able to identify a specific defect type based on its physical characteristics, and to filter it out at run time (Figure 5). The teaching phase is simply achieved with a manual classification of the corresponding defects hy the user at the time of initial recipe set-up. A worst-case scenario was selected specifically prepared wafers highly impacted by Cu voids were inspected. A 0.20pm BB UV inspection was used to minimize the effects of color variation and emphasize the capture of small defects at an acceptable throughput for a production line. Figure 3: The use of EC mode, combined with visible illumination, reveals a defect signature No nuisance fliter WISE nuisance filter Figure 5: Without WISE, the inspection has been aborted because a huge Cu voids density made it useless (left). With WISE, mostly DO1 are left, showing a clearer spatial signature (right). Figure 4: SEM pictures of pitting defects detected with EC mode only IEEWSEMI Advanced Manufacturing Conference

4 Figure 6: Defect Pareto of the wafer using WISE nuisance filter to reduce capture of Cu voids. Figure 6 represents the random defect distribution observed on the wafer after the inspection using WISE to filter out the void defect type. Though the main defect type is still Cu voids, other defects of high interest (deformed, missing or extra pattern, filling problems) are reported. Some of the main defect types are depicted in Figure 7. Deformed pattern Void nm lithography A critical milestone in the semiconductor industry is the introduction of 193nm photo resists. It is critical to ensure a smooth and fast implementation of this new material in order to meet the technology roadmap. Two case studies are presented hereafter to demonstrate the importance of both accelerating process integration and yield improvement with inline product wafer inspections, as well as monitoring process stability with short loop wafers. The selected inspection methodology is presented in both cases. Line After Develop Inspection (ADI) The ability to detect photolithography-related yield detractors before etching (such as resist poisoning and resist aging, or poor pattern resolution) is a success criteria in the achievement of challenging yield targets. The new narrow band W illumination available on the 2351 offers increased resolution along with enhanced sensitivity to current layer defects due to its small depth of focus (DOF). A comparison has been led between standard visible inspection conditions and UV Narrow Band illumination. Very convincing results were obtained: missing pattern defects were caught with W whereas they were not detected with visible mode on the 2351 tool. I] Corrosion CMP 11-scratch Figure 7: SEhl picture, of the main defect r.vpea reported after Cu CMP process step. Although the wafers were strongly impacted by defectivity caused by one main defect type, the: WISE feature enabled a more thorough defect analysis: some defects of high interest were highlighted with clearly visible wafer-level signatures. Figure 8: NDD comparison between defects captured with 0.20 NB UV BF and 0.39pm VIS BF inspections. As can be observed in Figure 8, UV Narrow Band mode allowed the capture of more current resist layer defects while king less sensitive to previous layer events. This is due to the combination of smaller DOF and enhanced optical resolution from UV illumination when compared to the previous generation visible spectrum. Being able to detect know killer defects is a must for any defect inspection strategy, but IEEWSEMI Advanced Manufacturing Conference

5 discovering new ones is key for yield improvement. Thanks to NB W mode, previously undetected killer defects that look lie missing vias (Figure 9) were captured during the evaluation. These defects might be due to local resist poisoning. Moreover, deformed vias, barely detectable with visible light, turned out to be numerous and located in a specific die region. Particle Scratch Stain Single missing / undersized contact Area of missing I undersized contact Deformed \,ia Figure 9: SEM pictures of nusrin: and ddormed via detected with W NB mode only The narrow band W illumination gave the best performances for after develop inspections. The 0.20pm pixel size associated with the resolution offered by the narrow band W light allowed the detection of killer defects. Figure 10: Optical images (patches) of the 5 iadc defect bins. Reliable automatic classification was achieved by training a classifier with various examples of each defect type. The performance of the classifier was then evaluated by its ability to match an expert manual classification: for the present study, both class accuracy and class purity were better than 80%. The contact lithography process was monitored periodically by inspecting PCMs over a period of several months (Figure 1 I). 193nm photo contact process monitoring The photo contact process step is identified as a yield-limiting step for the 90nm technology node, with an impact on yield that varies as a function of the density of missing or undersized contacts. In order to track these killer defects a Photo Cell Monitor (PCM) methodology was initiated. The PCM is a test wafer with patterned resist on silicon. It provides high signal-tcmoise ratio and allows early detection of problems that might not otherwise be identified before electrical testing on product wafers [61. A 0.20pm NB W inspection was set-up to allow the detection of low topography and very small defects such as stains, micro-bubbles, single, isolated missing or deformed contact. The in-line Automated Defect Classification (iadc) provided real time binning of defects into 5 classes enabling an easy implementation of excursion control by critical defect types. Figure 10 shows the distribution of the different defects into the iadc bins. Figure 11: Statistical Process Control (SPC) ch; showing how ial? can extract useful information by highlighting killer defect excursions. The total un-clustered defect density shows strong fluctuations, most of them are caused by non-killer defect twes. Setting a control limit on total defect density would therefore only result in false alarms or missed excursions. On the other hand, applying control limits to random missing or deformed contact IEEWSEMI Advanced Manufacturing Conference

6 defect density provides a more accurate 193nm photolithography process monitoring. By combining the detection capabilities of the narrow band W illumination mode with the power of iadc, the photo-cell monitoring technique offers a fast method for qualifying the 193nm technology node process development 4. Conclusion The studies performed on a few critical advanced process steps (STI ON etch, Cu CMP and line litho after develop) showed a strong capture rate improvement and revealed new defect types. Relevant methodologies and inspection modes on KLA-Tencor 2351 have been evaluated to ;acquire signiecant results in a short time range: extremely encouraging results were obtained with W illumination and EC mode for specific defect types that were previously hard to detect such ar oxide pitting and deformed vias after litho. In addition, the new WISE and iadc features allowed to fccus on defects of interest with a better wafer-level sijpature visibility. These promising results demonstrate the potentialities of this new inspection tool. For the applications evaluated in the study, the tool clearly fulells the needs of 130nm techno1og:y line monitoring as well as 90nm process development. It is well suited for the design rule shrink and can efficiently help in understanding defect mechanisms in newly intrduced processes. In this respect, it responded properly to the expectations of the evaluation. the SEMICON Europa Yield Management Solutions Seminar, April 2001 [3]. P.Y. Chiang, H.C. Chen, M. Lin The Applications of in-line Automatic Defect ClassiEcation (IADC) in a 300mm Foundry Proceedings of the SEMICON Taiwan Yield Management Solutions Seminar, August 2002 [4]. H. Chen at al Defect Reduction of Copper BEOL for Advanced ULSI Interconnect Proceedings of the IEEE 2001 Intemational, 2001, pp [5]. S. Varadarajan, D. Kalakkad, T. Cacouris Understanding and Reducing Copper Defects Semiconductor Intemational, June 2002 [6]. I. Peterson, G. Thomson, T. Dibiase, S. Ashkenaz, R. Howland Pinto Reducing and Managing Yield Killers trough Photo Cell Monitoring Yield Management Solutions, V01.2, No 2, Spring 2000, pp Acknowledgments The authors would like to thank FranGois Weisbuch, Pascal Bichebois of ST Microelectronics Crolles, France, Isabelle Soitout of Philips and RenC Ibloirin, Jean-Michel Vaca of KLA-Tencor for their contribution to this work. References [I]. M. Soucek, S. Trautman, J. Button, M. Reddy Utilization of the 2350 to minimize Risk to Process Qualification at TIDMOS6 Proceedings of the SEMICON West Yield Management Solutions Seminar, July 2001 [2]. Y.H. Kim Effect of W Inspection on Defect Management for Advanced Devices Proceedings of IEEWSEMI Advanced Manufacturing Conference

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION Yield enhancement of 3D flash devices through broadband brightfield inspection of the channel hole process module Jung-Youl Lee a, Il-Seok Seo a, Seong-Min Ma a, Hyeon-Soo Kim a, Jin-Woong Kim a DoOh Kim

More information

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip Abstract Based on failure analysis data the estimated failure mechanism in capacitor like device structures was simulated on wafer in Front End of Line. In the study the optimal process step for electron

More information

Auto classification and simulation of mask defects using SEM and CAD images

Auto classification and simulation of mask defects using SEM and CAD images Auto classification and simulation of mask defects using SEM and CAD images Tung Yaw Kang, Hsin Chang Lee Taiwan Semiconductor Manufacturing Company, Ltd. 25, Li Hsin Road, Hsinchu Science Park, Hsinchu

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

Wafer defects can t hide from

Wafer defects can t hide from WAFER DEFECTS Article published in Issue 3 2016 Wafer defects can t hide from Park Systems Atomic Force Microscopy (AFM) leader Park Systems has simplified 300mm silicon wafer defect review by automating

More information

Because Innovation Matters

Because Innovation Matters Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010 Safe Harbor This presentation contains forward-looking statements, including

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing 1 Oliver D. Patterson, 1 Xing J. Zhou, 1 Rohit S. Takalkar, 1 Katherine V. Hawkins, 1 Eric H.

More information

Nano-Imprint Lithography Infrastructure: Imprint Templates

Nano-Imprint Lithography Infrastructure: Imprint Templates Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images?

More information

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Hong Xiao, Long (Eric) Ma, Fei Wang, Yan Zhao, and Jack Jau Hermes Microvision, Inc., 1762 Automation Parkway, San Jose,

More information

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Classification and printability of EUV mask defects from SEM images Wonil Cho, Daniel Price, Paul A. Morgan, Daniel Rost, Masaki

More information

Characterization and improvement of unpatterned wafer defect review on SEMs

Characterization and improvement of unpatterned wafer defect review on SEMs Characterization and improvement of unpatterned wafer defect review on SEMs Alan S. Parkes *, Zane Marek ** JEOL USA, Inc. 11 Dearborn Road, Peabody, MA 01960 ABSTRACT Defect Scatter Analysis (DSA) provides

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding Ultrasonic Technology for Advanced Package Inspection A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th Double Patterning Rasha El-Jaroudi November 7 th 2017 reljaroudi@utexas.edu Outline Motivation Techniques Future of Double Patterning Rasha H. El-Jaroudi 2 1 Motivation Need to keep up with Moore s Law

More information

Automatic Defect Recognition in Industrial Applications

Automatic Defect Recognition in Industrial Applications Automatic Defect Recognition in Industrial Applications Klaus Bavendiek, Frank Herold, Uwe Heike YXLON International, Hamburg, Germany INDE 2007 YXLON. The reason why 1 Different Fields for Usage of ADR

More information

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech Commentary Alongside ISSCC, IEDM is the most traditional academic conference in the semiconductor field, and it is held

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

The Transition to Patterned Media in Hard Disk Drives

The Transition to Patterned Media in Hard Disk Drives The Transition to Patterned Media in Hard Disk Drives The Evolution of Jet and Flash Imprint Lithography for Patterned Media DISKCON San Jose Sept 24 rd, 2009 Paul Hofemann, Vice President, HDD Future

More information

Overcoming Challenges in 3D NAND Volume Manufacturing

Overcoming Challenges in 3D NAND Volume Manufacturing Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

Machine Vision System for Color Sorting Wood Edge-Glued Panel Parts

Machine Vision System for Color Sorting Wood Edge-Glued Panel Parts Machine Vision System for Color Sorting Wood Edge-Glued Panel Parts Q. Lu, S. Srikanteswara, W. King, T. Drayer, R. Conners, E. Kline* The Bradley Department of Electrical and Computer Eng. *Department

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems Dr. Jeffrey B. Sampsell Texas Instruments Digital projection display systems based on the DMD

More information

FEASIBILITY STUDY OF USING EFLAWS ON QUALIFICATION OF NUCLEAR SPENT FUEL DISPOSAL CANISTER INSPECTION

FEASIBILITY STUDY OF USING EFLAWS ON QUALIFICATION OF NUCLEAR SPENT FUEL DISPOSAL CANISTER INSPECTION FEASIBILITY STUDY OF USING EFLAWS ON QUALIFICATION OF NUCLEAR SPENT FUEL DISPOSAL CANISTER INSPECTION More info about this article: http://www.ndt.net/?id=22532 Iikka Virkkunen 1, Ulf Ronneteg 2, Göran

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr January 2012 Written by: Maher SAHMIMI DISCLAIMER :

More information

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 Central Texas Electronics Association Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 A review of the latest advancements in Acoustic Micro-Imaging for the non-destructive inspection

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

Deep Silicon Etch Technology for Advanced MEMS Applications

Deep Silicon Etch Technology for Advanced MEMS Applications Deep Silicon Etch Technology for Advanced MEMS Applications Shenjian Liu, Ph.D. Managing Director, AMEC AMEC Company Profile and Product Line-up AMEC HQ, R&D and MF Facility in Shanghai AMEC Taiwan AMEC

More information

1. Publishable summary

1. Publishable summary 1. Publishable summary 1.1. Project objectives. The target of the project is to develop a highly reliable high brightness conformable low cost scalable display for demanding applications such as their

More information

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection Ravi Bonam 1, Hung-Yu Tien 2, Acer Chou 2, Luciana Meli 1, Scott Halle 1, Ivy Wu 2, Xiaoxia Huang 2, Chris Lei 2,

More information

Organic light emitting diode (OLED) displays

Organic light emitting diode (OLED) displays Ultra-Short Pulse Lasers Enable Precision Flexible OLED Cutting FLORENT THIBAULT, PRODUCT LINE MANAGER, HATIM HALOUI, APPLICATION MANAGER, JORIS VAN NUNEN, PRODUCT MARKETING MANAGER, INDUSTRIAL PICOSECOND

More information

AIMCAL FALL CONFERENCE. More Than Defect Detection. The Real Value of Web Inspection

AIMCAL FALL CONFERENCE. More Than Defect Detection. The Real Value of Web Inspection AIMCAL FALL CONFERENCE More Than Defect Detection The Real Value of Web Inspection By Werner F. Goeckel, President, ISRA Surface Vision Inc, 4357 Park Drive, Suite J, Norcross, GA 30093 TEL: 770-449-7776;

More information

Multi-Shaped E-Beam Technology for Mask Writing

Multi-Shaped E-Beam Technology for Mask Writing Multi-Shaped E-Beam Technology for Mask Writing Juergen Gramss a, Arnd Stoeckel a, Ulf Weidenmueller a, Hans-Joachim Doering a, Martin Bloecker b, Martin Sczyrba b, Michael Finken b, Timo Wandel b, Detlef

More information

Failure Analysis Technology for Advanced Devices

Failure Analysis Technology for Advanced Devices ISHIYAMA Toshio, WADA Shinichi, KUZUMI Hajime, IDE Takashi Abstract The sophistication of functions, miniaturization and reduced weight of household appliances and various devices have been accelerating

More information

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Zhengmao Ye, Kang Luo, Xiaoming Lu, Brian Fletcher, Weijun Liu, Frank Xu, Dwayne LaBrake, Douglas Resnick,

More information

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY SEMICON DRESDEN TechARENA OCTOBER 12 th 2011 Vincent Farys, Bertrand Le-Gratiet, Pierre-Jérôme Goirand STMicroelectronics Crolles 2 OUTLINE Lithography

More information

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Linyong (Leo) Pang Bo Su, Yohan Choi D2S, Inc. 1 193i Needed to be Extended and Extended

More information

Implementation of A Low Cost Motion Detection System Based On Embedded Linux

Implementation of A Low Cost Motion Detection System Based On Embedded Linux Implementation of A Low Cost Motion Detection System Based On Embedded Linux Hareen Muchala S. Pothalaiah Dr. B. Brahmareddy Ph.d. M.Tech (ECE) Assistant Professor Head of the Dept.Ece. Embedded systems

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS RELIABILITY REPORT FOR PLASTIC ENCAPSULATED DEVICES May 4, 2009 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Approved by Ken Wendel Quality Assurance Director, Reliability Engineering

More information

Avoiding False Pass or False Fail

Avoiding False Pass or False Fail Avoiding False Pass or False Fail By Michael Smith, Teradyne, October 2012 There is an expectation from consumers that today s electronic products will just work and that electronic manufacturers have

More information

Commissioning of the ATLAS Transition Radiation Tracker (TRT)

Commissioning of the ATLAS Transition Radiation Tracker (TRT) Commissioning of the ATLAS Transition Radiation Tracker (TRT) 11 th Topical Seminar on Innovative Particle and Radiation Detector (IPRD08) 3 October 2008 bocci@fnal.gov On behalf of the ATLAS TRT community

More information

Setting the quality standards. Glass Inspection. Defect detection from the technology leader

Setting the quality standards. Glass Inspection. Defect detection from the technology leader Setting the quality standards Glass Inspection Defect detection from the technology leader Inspection systems for flat glass processing Pfungstadt / Germany Made in Germany Service Telephone support Inspection

More information

Superpose the contour of the

Superpose the contour of the (19) United States US 2011 0082650A1 (12) Patent Application Publication (10) Pub. No.: US 2011/0082650 A1 LEU (43) Pub. Date: Apr. 7, 2011 (54) METHOD FOR UTILIZING FABRICATION (57) ABSTRACT DEFECT OF

More information

ABSTRACT 1 INTRODUCTION

ABSTRACT 1 INTRODUCTION Novel lithography technique using an ASML Stepper/Scanner for the manufacture of display devices in MEMS world ASML US, Inc Special Applications, 6580 Via Del Oro San Jose, CA 95119 Keith Best, Pankaj

More information

EMBEDDED ZEROTREE WAVELET CODING WITH JOINT HUFFMAN AND ARITHMETIC CODING

EMBEDDED ZEROTREE WAVELET CODING WITH JOINT HUFFMAN AND ARITHMETIC CODING EMBEDDED ZEROTREE WAVELET CODING WITH JOINT HUFFMAN AND ARITHMETIC CODING Harmandeep Singh Nijjar 1, Charanjit Singh 2 1 MTech, Department of ECE, Punjabi University Patiala 2 Assistant Professor, Department

More information

A NOVEL METHOD FOR TESTING LCD BY INTEGRATING SHORTING BAR AND TAGUCHI DOE TECHNOLOGIES

A NOVEL METHOD FOR TESTING LCD BY INTEGRATING SHORTING BAR AND TAGUCHI DOE TECHNOLOGIES This article has been peer reviewed and accepted for publication in JMST but has not yet been copyediting, typesetting, pagination and proofreading process. Please note that the publication version of

More information

PUBLISHABLE Summary To provide OLED stacks with improved reliability Provide improved thin film encapsulation

PUBLISHABLE Summary To provide OLED stacks with improved reliability Provide improved thin film encapsulation PUBLISHABLE Summary SCOOP is a European funded project (FP7 project number 287595 SCOOP). It is focused on OLED technology, microdisplays based on the combination of OLED with CMOS technology, and innovative

More information

RELIABILITY REPORT FOR. MAX6070xxAUTxx+T PLASTIC ENCAPSULATED DEVICES. December 19, 2012 MAXIM INTEGRATED 160 RIO ROBLES SAN JOSE, CA 95134

RELIABILITY REPORT FOR. MAX6070xxAUTxx+T PLASTIC ENCAPSULATED DEVICES. December 19, 2012 MAXIM INTEGRATED 160 RIO ROBLES SAN JOSE, CA 95134 RELIABILITY REPORT FOR MAX6070xxAUTxx+T PLASTIC ENCAPSULATED DEVICES December 19, 2012 MAXIM INTEGRATED 160 RIO ROBLES SAN JOSE, CA 95134 Approved by Richard Aburano Quality Assurance Manager, Reliability

More information

DLP Discovery Reliability Application Note

DLP Discovery Reliability Application Note Data Sheet TI DN 2510330 Rev A March 2009 DLP Discovery Reliability Application Note May not be reproduced without permission from Texas Instruments Incorporated IMPORTANT NOTICE BEFORE USING TECHNICAL

More information

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED) Chapter 2 Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED) ---------------------------------------------------------------------------------------------------------------

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS RELIABILITY REPORT FOR MAX3639ETM+ PLASTIC ENCAPSULATED DEVICES June 21, 2010 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Approved by Richard Aburano Quality Assurance Manager, Reliability

More information

Screen investigations for low energetic electron beams at PITZ

Screen investigations for low energetic electron beams at PITZ 1 Screen investigations for low energetic electron beams at PITZ S. Rimjaem, J. Bähr, H.J. Grabosch, M. Groß Contents Review of PITZ setup Screens and beam profile monitors at PITZ Test results Summary

More information

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications Angela Kok, Thor-Erik Hansen, Trond Hansen, Geir Uri Jensen, Nicolas Lietaer, Michal Mielnik, Preben Storås

More information

Image Contrast Enhancement (ICE) The Defining Feature. Author: J Schell, Product Manager DRS Technologies, Network and Imaging Systems Group

Image Contrast Enhancement (ICE) The Defining Feature. Author: J Schell, Product Manager DRS Technologies, Network and Imaging Systems Group WHITE PAPER Image Contrast Enhancement (ICE) The Defining Feature Author: J Schell, Product Manager DRS Technologies, Network and Imaging Systems Group Image Contrast Enhancement (ICE): The Defining Feature

More information

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Akshey Sehgal, Anand Kadiyala, Michael DeVre and, Norberto Oliveria April 10 th, 2018 Background Due to higher aspect ratio features observed

More information

Reconstruction of Ca 2+ dynamics from low frame rate Ca 2+ imaging data CS229 final project. Submitted by: Limor Bursztyn

Reconstruction of Ca 2+ dynamics from low frame rate Ca 2+ imaging data CS229 final project. Submitted by: Limor Bursztyn Reconstruction of Ca 2+ dynamics from low frame rate Ca 2+ imaging data CS229 final project. Submitted by: Limor Bursztyn Introduction Active neurons communicate by action potential firing (spikes), accompanied

More information

CMP and Current Trends Related to Advanced Packaging

CMP and Current Trends Related to Advanced Packaging CMP and Current Trends Related to Advanced Packaging Robert L. Rhoades, Ph.D. NCCAVS TFUG-CMPUG Joint Meeting June 7, 2017 Semiconductor Equipment Spare Parts and Service CMP Foundry Foundry Click to edit

More information

Power Consumption Trends in Digital TVs produced since 2003

Power Consumption Trends in Digital TVs produced since 2003 Power Consumption Trends in Digital TVs produced since 2003 Prepared by Darrell J. King And Ratcharit Ponoum TIAX LLC 35 Hartwell Avenue Lexington, MA 02421 TIAX Reference No. D0543 for Consumer Electronics

More information

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1 Presentation Outline The Internet of Things (IoT) as a market

More information

PCB Error Detection Using Image Processing

PCB Error Detection Using Image Processing ISSN 2395-1621 PCB Error Detection Using Image Processing #1 Akshay Govind Lahane, #2 Anish Sanjay Dixit, #3 Pratik Surendrakumar Kadam, #4 Shripad Rajendra Angre 1 Akshayraje3137@gmail.com 1 2 anishdixit@gmail.com

More information

Impact of Intermittent Faults on Nanocomputing Devices

Impact of Intermittent Faults on Nanocomputing Devices Impact of Intermittent Faults on Nanocomputing Devices Cristian Constantinescu June 28th, 2007 Dependable Systems and Networks Outline Fault classes Permanent faults Transient faults Intermittent faults

More information

Figure 2: Original and PAM modulated image. Figure 4: Original image.

Figure 2: Original and PAM modulated image. Figure 4: Original image. Figure 2: Original and PAM modulated image. Figure 4: Original image. An image can be represented as a 1D signal by replacing all the rows as one row. This gives us our image as a 1D signal. Suppose x(t)

More information

Agilent 81600B Tunable Laser Source Family

Agilent 81600B Tunable Laser Source Family Agilent 81600B Tunable Laser Source Family Technical Specifications August 2007 The Agilent 81600B Tunable Laser Source Family offers the full wavelength range from 1260 nm to 1640 nm with the minimum

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS RELIABILITY REPORT FOR EEE+ PLASTIC ENCAPSULATED DEVICES February 18, 2010 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Approved by Ken Wendel Quality Assurance Director, Reliability

More information

Understanding PQR, DMOS, and PSNR Measurements

Understanding PQR, DMOS, and PSNR Measurements Understanding PQR, DMOS, and PSNR Measurements Introduction Compression systems and other video processing devices impact picture quality in various ways. Consumers quality expectations continue to rise

More information

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders Beatrice Beyer Figure 1. (OLED) microdisplay with a screen diagonal of 16 mm. Figure 2. CMOS cross section with OLED on top. Usually as small as fingernails, but of very high resolution Optical system

More information

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology.

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. T.Vijay Kumar, M.Tech Associate Professor, Dr.K.V.Subba Reddy Institute of Technology.

More information

TechNote: MuraTool CA: 1 2/9/00. Figure 1: High contrast fringe ring mura on a microdisplay

TechNote: MuraTool CA: 1 2/9/00. Figure 1: High contrast fringe ring mura on a microdisplay Mura: The Japanese word for blemish has been widely adopted by the display industry to describe almost all irregular luminosity variation defects in liquid crystal displays. Mura defects are caused by

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

Readiness and Challenges of EUV Mask

Readiness and Challenges of EUV Mask Panel Discussion: EUVL HVM Insertion and Scaling Readiness and Challenges of EUV Mask Takashi Kamo Toshiba Corporation Semiconductor & Storage Products Company Contents [1] Introduction [2] EUV Mask Defect

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

DESIGN OF VISIBLE LIGHT COMMUNICATION SYSTEM

DESIGN OF VISIBLE LIGHT COMMUNICATION SYSTEM DESIGN OF VISIBLE LIGHT COMMUNICATION SYSTEM *Vishakh B V, **Mohammed Kamal Khwaja *School of Electronics Engineering, VIT University, Vellore, India ** School of Electronics Engineering, VIT University,

More information

BTC and SMT Rework Challenges

BTC and SMT Rework Challenges BTC and SMT Rework Challenges Joerg Nolte Ersa GmbH Wertheim, Germany Abstract Rising customer demands in the field of PCB repair are a daily occurrence as the rapid electronic industry follows new trends

More information

Power Device Analysis in Design Flow for Smart Power Technologies

Power Device Analysis in Design Flow for Smart Power Technologies Power Device Analysis in Design Flow for Smart Power Technologies A.Bogani, P.Cacciagrano, G.Ferre`, L.Paciaroni, M.Verga ST Microelectronics, via Tolomeo 1 Cornaredo 20010, Milano, Italy M.Ershov,Y.Feinberg

More information

Lossless Compression Algorithms for Direct- Write Lithography Systems

Lossless Compression Algorithms for Direct- Write Lithography Systems Lossless Compression Algorithms for Direct- Write Lithography Systems Hsin-I Liu Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California at Berkeley

More information

White Paper. Uniform Luminance Technology. What s inside? What is non-uniformity and noise in LCDs? Why is it a problem? How is it solved?

White Paper. Uniform Luminance Technology. What s inside? What is non-uniformity and noise in LCDs? Why is it a problem? How is it solved? White Paper Uniform Luminance Technology What s inside? What is non-uniformity and noise in LCDs? Why is it a problem? How is it solved? Tom Kimpe Manager Technology & Innovation Group Barco Medical Imaging

More information

Why Use the Cypress PSoC?

Why Use the Cypress PSoC? C H A P T E R1 Why Use the Cypress PSoC? Electronics have dramatically altered the world as we know it. One has simply to compare the conveniences and capabilities of today s world with those of the late

More information

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON - CONTRIBUTORS FORM ADVANCED LITHO AND CU-LOW-K IIAP PROGRAMS - ASML VELDHOVEN DEMO LAB FOR EXPOSURES EUV

More information

APPLICATIONS OF DIGITAL IMAGE ENHANCEMENT TECHNIQUES FOR IMPROVED

APPLICATIONS OF DIGITAL IMAGE ENHANCEMENT TECHNIQUES FOR IMPROVED APPLICATIONS OF DIGITAL IMAGE ENHANCEMENT TECHNIQUES FOR IMPROVED ULTRASONIC IMAGING OF DEFECTS IN COMPOSITE MATERIALS Brian G. Frock and Richard W. Martin University of Dayton Research Institute Dayton,

More information

SoC IC Basics. COE838: Systems on Chip Design

SoC IC Basics. COE838: Systems on Chip Design SoC IC Basics COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University Overview SoC

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

Possible Paths for Cu CMP

Possible Paths for Cu CMP Possible Paths for Cu CMP J.S. Drewery, V. Hardikar, S.T. Mayer, H. Meinhold, F. Juarez, and J. Svirchevski Presented by Julia Svirchevski Agenda Perceived Need for ECMP Technology Differentiation Profile

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS RELIABILITY REPORT FOR MAX3612ETM+T PLASTIC ENCAPSULATED DEVICES December 22, 2011 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Approved by Richard Aburano Quality Assurance Manager,

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

WHAT IS THE FUTURE OF TAPE TECHNOLOGY FOR DATA STORAGE AND MANAGEMENT?

WHAT IS THE FUTURE OF TAPE TECHNOLOGY FOR DATA STORAGE AND MANAGEMENT? WHAT IS THE FUTURE OF TAPE TECHNOLOGY FOR DATA STORAGE AND MANAGEMENT? There is news in the field of tape storage: two new products will be launched in 2018 which will change tape technology s offer in

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual LAM490 AutoEtch System Copyright 11.2015 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities

More information

Fieldbus Testing with Online Physical Layer Diagnostics

Fieldbus Testing with Online Physical Layer Diagnostics Technical White Paper Fieldbus Testing with Online Physical Layer Diagnostics The significant benefits realized by the latest fully automated fieldbus construction & pre-commissioning hardware, software

More information

CS229 Project Report Polyphonic Piano Transcription

CS229 Project Report Polyphonic Piano Transcription CS229 Project Report Polyphonic Piano Transcription Mohammad Sadegh Ebrahimi Stanford University Jean-Baptiste Boin Stanford University sadegh@stanford.edu jbboin@stanford.edu 1. Introduction In this project

More information

A Parametric Autoregressive Model for the Extraction of Electric Network Frequency Fluctuations in Audio Forensic Authentication

A Parametric Autoregressive Model for the Extraction of Electric Network Frequency Fluctuations in Audio Forensic Authentication Proceedings of the 3 rd International Conference on Control, Dynamic Systems, and Robotics (CDSR 16) Ottawa, Canada May 9 10, 2016 Paper No. 110 DOI: 10.11159/cdsr16.110 A Parametric Autoregressive Model

More information

Technology Scaling Issues of an I DDQ Built-In Current Sensor

Technology Scaling Issues of an I DDQ Built-In Current Sensor Technology Scaling Issues of an I DDQ Built-In Current Sensor Bin Xue, D. M. H. Walker Dept. of Computer Science Texas A&M University College Station TX 77843-3112 Tel: (979) 862-4387 Email: {binxue, walker}@cs.tamu.edu

More information