Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Size: px
Start display at page:

Download "Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities"

Transcription

1 Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1

2 Presentation Outline The Internet of Things (IoT) as a market driver for IC demand The resurgence of 200 mm demand and challenges from a capital equipment perspective Need for leading-edge (300 mm) technology even for IoT applications Enabling solutions for IoT applications Summary Lam Research Corp. 2

3 IoT Installed Base, Global Market (Billions) The Internet of Things Market is Growing Networked Society Networked Consumer Electronics Networked Industries Significant growth in IoT devices Most only need 28nm process capability 1) Source: IHS, 2016 Lam Research Corp. 3

4 Enabling Technologies for IoT Applications New Materials IOPScience Piezoelectric Wide Band Gap 1 New transducer and power devices Controlled Etch High accuracy, low power Deep Si Etch FD-SOI Through-Silicon Via Smaller footprint System in Package (SIP) 3D 1 Baker, R.; Milligan, J.;, Wood, S.; Comparing GaN-on-SiC Power Transistor Technology with GaAs and Si. Wireless Design and Development Lam Research Corp. 4

5 300 mm Deposition and Etch Processes Market Leaders in 3D NAND Memory Array Single Memory Cell Bitline: Metal fill SABRE copper plating VECTOR Strata deposition Stack: Alternating film deposition Contact: Metal fill ALTUS CVD/ALD deposition Flex HAR etch Slit: High aspect ratio etch Channel: High aspect ratio etch Flex HAR etch Wordline: Metal fill ALTUS CVD/ALD deposition Stair: Staircase etch Kiyo conductor etch Features enabling 3D NAND at 300 mm enable IoT devices Lam Research Corp. 5

6 MEMS Devices Challenges and Solutions Deposition-Enabled Cavity Seal High deposition Rate SPEED HDP Low pressure (<50mT) high quality oxide film ~5µm thick SiN Membrane Excellent film stress required for membrane layers in pressure sensors and microphones SEQUEL PECVD Cavity Etch High Etch Rate Good profile control DSiE-G Si Etch Buried Oxide Etch Accurate, uniform temperature control High etch rate High selectivity No Silicon attack Flex45 Dielectric Etch MEMS Si Structure Etch Tilt minimization & profile control Controllable CD & depth uniformity DSiE-G Si Etch Profile tunability taper, vertical, and re-entrant Single Side Wet Processing Cost effective residue, particle and film removal Precise wafer thinning / stress relief Controlled metal structuring SP and DaVinci Single Wafer Etch Rapid Innovation in MEMS Industry Requires focus and new solutions in Equipment & Technology Lam Research Corp. 6

7 IoT also Requires Predictable Production Solutions Continue to build new systems for both 200 mm and 300 mm fabs Supply of advanced 200 mm systems is not dependent on used core availability Allows customers to plan fab ramps with reduced risk Ensure tools are available to support next-generation technology The average age of Lam Alliance product family tools is 15 years, with over 20% more than 20 years old New processes New materials Productivity solutions Parts availability for the aging tool set through structured obsolescence management Maintain skilled resources Tool expertise Process expertise Lam Research Corp. 7

8 Tech Eng Productivity Solutions--Alliance C and Advanced Software Alliance C Extends the useful life Brings 300 mm learning to 200 mm systems Enables Advanced Software System upgrade based on Lam s leading-edge 2300 platform System UI Transfer and Process Module Control System AutoPM Throughput Optimization (TPO) Distributed UI LamDA Wet Clean Optimization (WCO) 33% Improvement in G2G time and 45% reduction in labor time Advanced Software Example Results with Wet Clean Optimization 75 hrs Before WCO 50 hrs With WCO Step-bystep tracking Eng AutoPM Tech 80% Reduction in Unscheduled Cleans Time Between Cleans (TBC) WCO No WCO Local language video Lam Research Corp. 8

9 Summary Advanced Technology MEMS Industry Technology CIP of IoT Equipment The Internet of Things market is growing New IoT devices will need enabling technologies Strength in leading edge devices such as 3D NAND provides enabling technology for IoT devices Continued significant investment in products for > 28 nm (e.g. obsolescence) extends the useful life of IoT fab equipment Good obsolescence solutions can provide IoT manufacturers with the same advanced software tools as leading-edge customers Lam Research Corp. 9

10

Overcoming Challenges in 3D NAND Volume Manufacturing

Overcoming Challenges in 3D NAND Volume Manufacturing Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

Deep Silicon Etch Technology for Advanced MEMS Applications

Deep Silicon Etch Technology for Advanced MEMS Applications Deep Silicon Etch Technology for Advanced MEMS Applications Shenjian Liu, Ph.D. Managing Director, AMEC AMEC Company Profile and Product Line-up AMEC HQ, R&D and MF Facility in Shanghai AMEC Taiwan AMEC

More information

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

CMP and Current Trends Related to Advanced Packaging

CMP and Current Trends Related to Advanced Packaging CMP and Current Trends Related to Advanced Packaging Robert L. Rhoades, Ph.D. NCCAVS TFUG-CMPUG Joint Meeting June 7, 2017 Semiconductor Equipment Spare Parts and Service CMP Foundry Foundry Click to edit

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

HB LEDs & OLEDs. Complete thin film process solutions

HB LEDs & OLEDs. Complete thin film process solutions HB LEDs & OLEDs Complete thin film process solutions Get off to a flying start for all your LED thin film deposition and etch processes From 2 inch to 8 inch Manual or fully automated substrate handling

More information

THE challenges facing today s mobile

THE challenges facing today s mobile MEMS displays MEMS-Based Display Technology Drives Next-Generation FPDs for Mobile Applications Today, manufacturers of mobile electronic devices are faced with a number of competitive challenges. To remain

More information

InvenSense Fabless Model for the MEMS Industry

InvenSense Fabless Model for the MEMS Industry InvenSense Fabless Model for the MEMS Industry HKSTP Symposium Aug 2016 InvenSense, Inc. Proprietary Outline MEMS Market InvenSense CMOS-MEMS Integration InvenSense Shuttle Program and Process MEMS MARKET

More information

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering Advanced WLP Platform for High-Performance MEMS Presented by Dean Spicer, Director of Engineering 1 May 11 th, 2016 1 Outline 1. Application Drivers for High Performance MEMS Sensors 2. Approaches to Achieving

More information

AIXTRON in EXCILIGHT project

AIXTRON in EXCILIGHT project AIXTRON SE AIXTRON in EXCILIGHT project Gintautas Simkus ABOUT AIXTRON 2 Who we are Headquarter based in Herzogenrath, Germany Worldwide presence with 14 sales/representatives offices and production facilities

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr January 2012 Written by: Maher SAHMIMI DISCLAIMER :

More information

Because Innovation Matters

Because Innovation Matters Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010 Safe Harbor This presentation contains forward-looking statements, including

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Akshey Sehgal, Anand Kadiyala, Michael DeVre and, Norberto Oliveria April 10 th, 2018 Background Due to higher aspect ratio features observed

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Overview This document addresses the following chuck edge design issues: Device yield through system uniformity and particle reduction; System

More information

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining Pressure sensor Surface Micromachining Deposit sacrificial layer Si PSG By HF Poly by XeF2 Pattern anchors Deposit/pattern structural layer Etch sacrificial layer Surface micromachining Structure sacrificial

More information

Advanced Sensor Technologies

Advanced Sensor Technologies Advanced Sensor Technologies Jörg Amelung Fraunhofer Institute for Photonics Microsystems Name of presenter date Sensors as core element for IoT Next phase of market grow New/Advanced Requirements based

More information

2016, Amkor Technology, Inc.

2016, Amkor Technology, Inc. 1 Standardization of Packaging for the Internet of Things Adrian Arcedera l VP of MEMS and Sensor Products 2 About Amkor Technology Amkor Technology, Inc. is one of the world's largest and most accomplished

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs, Zhongda Li, Robert Karlicek and T. Paul Chow Smart Lighting Engineering Research Center Rensselaer Polytechnic Institute, Troy,

More information

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech Commentary Alongside ISSCC, IEDM is the most traditional academic conference in the semiconductor field, and it is held

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

2016, Amkor Technology, Inc.

2016, Amkor Technology, Inc. 1 Standardization of Packaging for the Internet of Things Adrian Arcedera l VP of MEMS and Sensor Products 2 About Amkor Technology Amkor Technology, Inc. is one of the world's largest and most accomplished

More information

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 Central Texas Electronics Association Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 A review of the latest advancements in Acoustic Micro-Imaging for the non-destructive inspection

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

Introduction to CMOS VLSI Design (E158) Lecture 11: Decoders and Delay Estimation

Introduction to CMOS VLSI Design (E158) Lecture 11: Decoders and Delay Estimation Harris Introduction to CMOS VLSI Design (E158) Lecture 11: Decoders and Delay Estimation David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University

More information

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. Richard Barnett Dave Thomas Oliver Ansell ABSTRACT Plasma dicing has rapidly gained traction as a viable

More information

DESIGNING MEMS MICROPHONES FROM CONCEPT TO FINISHED GDSII IN ABOUT TWO WEEKS

DESIGNING MEMS MICROPHONES FROM CONCEPT TO FINISHED GDSII IN ABOUT TWO WEEKS DESIGNING MEMS MICROPHONES FROM CONCEPT TO FINISHED GDSII IN ABOUT TWO WEEKS A M S D E S I G N & V E R I F I C A T I O N C A S E S T U D Y w w w. m e n t o r. c o m ABOUT THE MEMS MICROPHONE MARKET Knowles

More information

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding Ultrasonic Technology for Advanced Package Inspection A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding

More information

EE C247B ME C218 Introduction to MEMS Design Spring 2017

EE C247B ME C218 Introduction to MEMS Design Spring 2017 EE C247B ME C218 Introduction to MEMS Design Spring 2017 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture Module

More information

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis July 6, 2006 STMicroelectronics NAND128W3A2BN6E Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Vesper VM1000 Piezoelectric MEMS Microphone

Vesper VM1000 Piezoelectric MEMS Microphone Vesper VM1000 Piezoelectric MEMS Microphone MEMS report by Sylvain Hallereau February 2017 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr www.systemplus.fr 2017 System

More information

NXP t505f Smart Card RFID Die Embedded NOR Flash Die From Smart Card World MIFARE Ultralight C

NXP t505f Smart Card RFID Die Embedded NOR Flash Die From Smart Card World MIFARE Ultralight C NXP t505f Smart Card RFID Die Die From Smart Card World MIFARE Ultralight C Custom Process Analysis For comments, questions, or more information about this report, or for any additional technical needs

More information

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors Albert van Breemen Image sensors today 1 Dominated by silicon based technology on

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

Thin-Film Encapsulation of Organic Light Emitting Devices (OLEDs) Dr. Emilie Galand Huntsman Advanced Materials CSEM Plastic Optoelectronics - Basel

Thin-Film Encapsulation of Organic Light Emitting Devices (OLEDs) Dr. Emilie Galand Huntsman Advanced Materials CSEM Plastic Optoelectronics - Basel Thin-Film Encapsulation of Organic Light Emitting Devices (OLEDs) Dr. Emilie Galand CSEM Plastic Optoelectronics - Basel 25th June 2010 About Huntsman Huntsman is a global manufacturer and marketer of

More information

Lecture 20 Optical MEMS (2)

Lecture 20 Optical MEMS (2) EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 20 Optical MEMS (2) Agenda: MOEMS Introduction Micromirrors EEL6935 Advanced MEMS 2005 H. Xie 3/30/2005 1 Optical MEMS Topics Introduction

More information

Progress in Scale-up of 2G HTS Wire at SuperPower Part I

Progress in Scale-up of 2G HTS Wire at SuperPower Part I superior performance. powerful technology. Progress in Scale-up of 2G HTS Wire at SuperPower Part I V. Selvamanickam & Y. Xie Y. Chen, X. Xiong, M. Martchevski, Y. Qiao, A. Rar, B. Gogia, R. Schmidt, A.

More information

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION Yield enhancement of 3D flash devices through broadband brightfield inspection of the channel hole process module Jung-Youl Lee a, Il-Seok Seo a, Seong-Min Ma a, Hyeon-Soo Kim a, Jin-Woong Kim a DoOh Kim

More information

Advanced MEMS Packaging

Advanced MEMS Packaging Advanced MEMS Packaging John H. Lau Chengkuo Lee C. S. Premachandran Yu Aibin Ш New York Chicago San Francisco Lisbon London Madrid Mexico City Milan New Delhi San Juan Seoul Singapore Sydney Toronto Contents

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr March 2011 - Version 1 Written by: Romain FRAUX DISCLAIMER

More information

EQUIPMENT COATING SYSTEMS FOR THIN-FILM PV THIN-FILM PHOTOVOLTAICS. SCALA VISS PIA nova GC120VCR XENIA

EQUIPMENT COATING SYSTEMS FOR THIN-FILM PV THIN-FILM PHOTOVOLTAICS. SCALA VISS PIA nova GC120VCR XENIA THIN-FILM PHOTOVOLTAICS EQUIPMENT COATING SYSTEMS FOR THIN-FILM PV SCALA VISS PIA nova GC120VCR XENIA OUR STORY. OUR EXPERIENCE. RANGE OF APPLICATIONS VON ARDENNE provides advanced PVD coating equipment

More information

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website : 21 rue La Noue Bras de Fer - 44200 Nantes - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr 2012 September - Version 1 Written by: Maher Sahmimi DISCLAIMER

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

I. Introduction. II. Problem

I. Introduction. II. Problem Wiring Deformable Mirrors for Curvature Adaptive Optics Systems Joshua Shiode Boston University, IfA REU 2005 Sarah Cook University of Hawaii, IfA REU 2005 Mentor: Christ Ftaclas Institute for Astronomy,

More information

2-Axis Gyroscopes for Optical Image Stabilization: STMicroelectronics L2G2IS and InvenSense IDG-2030

2-Axis Gyroscopes for Optical Image Stabilization: STMicroelectronics L2G2IS and InvenSense IDG-2030 2-Axis Gyroscopes for Optical Image Stabilization: STMicroelectronics L2G2IS and InvenSense IDG-2030 Complete reports and comparison of the latest generation products for smartphones from the leading optical

More information

Development of OLED Lighting Applications Using Phosphorescent Emission System

Development of OLED Lighting Applications Using Phosphorescent Emission System Development of OLED Lighting Applications Using Phosphorescent Emission System Kazuhiro Oikawa R&D Department OLED Lighting Business Center KONICA MINOLTA ADVANCED LAYERS, INC. October 10, 2012 Outline

More information

Technology Overview LTCC

Technology Overview LTCC Sheet Code RFi0604 Technology Overview LTCC Low Temperature Co-fired Ceramic (LTCC) is a multilayer ceramic substrate technology that allows the realisation of multiple embedded passive components (Rs,

More information

Nano-Imprint Lithography Infrastructure: Imprint Templates

Nano-Imprint Lithography Infrastructure: Imprint Templates Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images?

More information

STMicroelectronics L2G2IS 2-Axis Gyroscope for OIS

STMicroelectronics L2G2IS 2-Axis Gyroscope for OIS STMicroelectronics L2G2IS 2-Axis Gyroscope for OIS MEMS report by Romain Fraux October 2016 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr www.systemplus.fr 2016

More information

RFSOI and FDSOI enabling smarter and IoT applications. Kirk Ouellette Digital Products Group STMicroelectronics

RFSOI and FDSOI enabling smarter and IoT applications. Kirk Ouellette Digital Products Group STMicroelectronics RFSOI and FDSOI enabling smarter and IoT applications Kirk Ouellette Digital Products Group STMicroelectronics ST in the IoT already Today 2 Kirk Ouellette More then Moore Workshop - Shanghai - March 17,

More information

Structure, Process & Cost Analysis

Structure, Process & Cost Analysis Reverse Costing Structure, Process & Cost Analysis Heimann Sensor 32 x 32-array thermopile LWIR image sensor with silicon lens A small, easy to use, low-power, cheap non-contact temperature measurement

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr April 2012 - Version 1 Written by: Sylvain HALLEREAU

More information

MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden

MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden Michael Müller, Matthias List Outline FhG-IPMS

More information

ih Series Drypumps (505) idealvac.com idealvac.com

ih Series Drypumps (505) idealvac.com idealvac.com ih Series Drypumps idealvac.com (505)872-0037 idealvac.com 1st Choice for Vacuum Solutions S 2 SEMICONDUCTOR INDUSTRY STANDARDS COMPLIANCE The ih Series Drypumps comply with internationally recognized

More information

Alien Technology Corporation White Paper. Fluidic Self Assembly. October 1999

Alien Technology Corporation White Paper. Fluidic Self Assembly. October 1999 Alien Technology Corporation White Paper Fluidic Self Assembly October 1999 Alien Technology Corp Page 1 Why FSA? Alien Technology Corp. was formed to commercialize a proprietary technology process, protected

More information

1. Publishable summary

1. Publishable summary 1. Publishable summary 1.1. Project objectives. The target of the project is to develop a highly reliable high brightness conformable low cost scalable display for demanding applications such as their

More information

IC TECHNOLOGY Lecture 2.

IC TECHNOLOGY Lecture 2. IC TECHNOLOGY Lecture 2. IC Integrated Circuit Technology Integrated Circuit: An integrated circuit (IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor

More information

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION 3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION 23.08.2018 I DAVID ARUTINOV CONTENT INTRODUCTION TRENDS AND ISSUES OF MODERN IC s 3D INTEGRATION TECHNOLOGY CURRENT STATE OF 3D INTEGRATION SUMMARY

More information

MagnaChip HV7161SP 1.3 Megapixel CMOS Image Sensor Process Review

MagnaChip HV7161SP 1.3 Megapixel CMOS Image Sensor Process Review September 21, 2005 MagnaChip HV7161SP 1.3 Megapixel Process Review For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Introduction to. Micragem: A Silicon-on-Insulator Based Micromachining Process. Report ICI-138 V3.0 (Beta version)

Introduction to. Micragem: A Silicon-on-Insulator Based Micromachining Process. Report ICI-138 V3.0 (Beta version) Introduction to Micragem: A Silicon-on-Insulator Based Micromachining Process Report ICI-138 V3.0 (Beta version) December 14, 2004 Copyright 2004 Canadian Microelectronics Corporation This document was

More information

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction 1 Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction Assistant Professor Office: C3.315 E-mail: eman.azab@guc.edu.eg 2 Course Overview Lecturer Teaching Assistant Course Team E-mail:

More information

13th MOST Interconnectivity Conference 2012 MOST150 on the Road with Avago FOTs

13th MOST Interconnectivity Conference 2012 MOST150 on the Road with Avago FOTs 13th MOST Interconnectivity Conference 2012 MOST150 on the Road with Avago FOTs Thomas Lichtenegger Nov, 15 th 2012 Agenda Avago Avago Fiberoptics MOST150 Development Performance Characterization Quality

More information

Barium Ferrite: The storage media of the future is here today

Barium Ferrite: The storage media of the future is here today IBM Systems and Technology Thought Leadership White Paper December 2013 Barium Ferrite: The storage media of the future is here today With Metal Particle reaching its limits, new technology delivers higher

More information

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Clara Dimas, Julie Perreault, Steven Cornelissen, Harold Dyson, Peter Krulevitch, Paul Bierden, Thomas Bifano, Boston Micromachines

More information

TA0311 TECHNICAL ARTICLE High Temperature Electronics 1 Introduction 2 Why the need for high-temperature semiconductors?

TA0311 TECHNICAL ARTICLE High Temperature Electronics 1 Introduction 2 Why the need for high-temperature semiconductors? TECHNICAL ARTICLE High Temperature Electronics 1 Introduction In the semiconductor world, there are numerous products specified with an industrial temperature range (-40/+85 C), and somewhat fewer with

More information

Next Generation MEMS Manufacturing The ConFab Alissa M. Fitzgerald, Ph.D., Founder & Managing Member

Next Generation MEMS Manufacturing The ConFab Alissa M. Fitzgerald, Ph.D., Founder & Managing Member Next Generation MEMS Manufacturing The ConFab 2017 Alissa M. Fitzgerald, Ph.D., Founder & Managing Member Overview About AMFitzgerald Retrospective: MEMS technology history and markets Next generation

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

Solderability Test Summary Report

Solderability Test Summary Report Date: 05/27/05 Page 1 Of 11 PCA Manufacturing Technologies & Engineering Services Solderability Test Summary Report Lucent Technologies Work Order: MT-WO-5191 Reliability Physics Group Customer PO: CC

More information

Introduction of Medium Current Ion Implanter EXCEED3000AH-8C -New 200mm Tool-

Introduction of Medium Current Ion Implanter EXCEED3000AH-8C -New 200mm Tool- Introduction of Medium Current Ion Implanter EXCEED3000AH-8C -New 200mm Tool- JTG Seminar Semicon West July, 2016 Nissin Ion Equipment Co., Ltd. History of Si Wafer Size and Related Architecture of Ion

More information

Possible Paths for Cu CMP

Possible Paths for Cu CMP Possible Paths for Cu CMP J.S. Drewery, V. Hardikar, S.T. Mayer, H. Meinhold, F. Juarez, and J. Svirchevski Presented by Julia Svirchevski Agenda Perceived Need for ECMP Technology Differentiation Profile

More information

24. Scaling, Economics, SOI Technology

24. Scaling, Economics, SOI Technology 24. Scaling, Economics, SOI Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 December 4, 2017 ECE Department, University

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

Title: STMicroelectronics NIR Camera Sensor Pages: 97 Date: December 2017 Format: PDF & Excel file Price: Full report: EUR 3,490

Title: STMicroelectronics NIR Camera Sensor Pages: 97 Date: December 2017 Format: PDF & Excel file Price: Full report: EUR 3,490 STMicroelectronics Near Infrared Camera Sensor in the Apple iphone X The first NIR camera sensor with multiple innovations based on imager-silicon-oninsulator substrate from SOITEC, supplied and produced

More information

New Filling Pattern for SLS-FEMTO

New Filling Pattern for SLS-FEMTO SLS-TME-TA-2009-0317 July 14, 2009 New Filling Pattern for SLS-FEMTO Natalia Prado de Abreu, Paul Beaud, Gerhard Ingold and Andreas Streun Paul Scherrer Institut, CH-5232 Villigen PSI, Switzerland A new

More information

Chapter 2. Analysis of ICT Industrial Trends in the IoT Era. Part 1

Chapter 2. Analysis of ICT Industrial Trends in the IoT Era. Part 1 Chapter 2 Analysis of ICT Industrial Trends in the IoT Era This chapter organizes the overall structure of the ICT industry, given IoT progress, and provides quantitative verifications of each market s

More information

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems Dr. Jeffrey B. Sampsell Texas Instruments Digital projection display systems based on the DMD

More information

TipatOr. Liquid metal switch (LMS) display technology. Avi Fogel

TipatOr. Liquid metal switch (LMS) display technology. Avi Fogel TipatOr Liquid metal switch (LMS) display technology Avi Fogel 972-52-5702938 avifog@gmail.com Who is behind TipatOr TipatOr emerged from a merger of 2 expert groups in the fields of MEMS and Displays

More information

:: Reduce needs for heat dissipation components. :: Extend battery life in mobile products. :: Save power and reduce heat generation in TVs

:: Reduce needs for heat dissipation components. :: Extend battery life in mobile products. :: Save power and reduce heat generation in TVs UniversalPHOLED Technology and Materials UniversalPHOLED Phosphorescent OLED technology and materials offer record-breaking performance to bring competitive advantages to your OLED display and lighting

More information

SPATIAL LIGHT MODULATORS

SPATIAL LIGHT MODULATORS SPATIAL LIGHT MODULATORS Reflective XY Series Phase and Amplitude 512x512 A spatial light modulator (SLM) is an electrically programmable device that modulates light according to a fixed spatial (pixel)

More information

I-fuse TM : Best OTP for FD-SOI and Sub-14nm

I-fuse TM : Best OTP for FD-SOI and Sub-14nm I-fuse TM : Best OTP for FD-SOI and Sub-14nm Shine Chung, Chairman May, 2017 Attopsemi Technology 1A2-A1 No. 1 Li-Hsin 1st Rd, Hsinchu, Taiwan 300-76 (886) 3-666-3150x211, (886) 920-566-218 1 1 About Attopsemi

More information

Challenges in the design of a RGB LED display for indoor applications

Challenges in the design of a RGB LED display for indoor applications Synthetic Metals 122 (2001) 215±219 Challenges in the design of a RGB LED display for indoor applications Francis Nguyen * Osram Opto Semiconductors, In neon Technologies Corporation, 19000, Homestead

More information

Scaling up of the Iris AO segmented DM technology for atmospheric correction

Scaling up of the Iris AO segmented DM technology for atmospheric correction Scaling up of the Iris AO segmented DM technology for atmospheric correction Michael A. Helmbrecht, Ph.D., Min He, Carl Kempf, Ph.D., Patrick Rhodes Iris AO, Inc., 2680 Bancroft Way, Berkeley, CA 94704

More information

Backside Circuit Edit on Full-Thickness Silicon Devices

Backside Circuit Edit on Full-Thickness Silicon Devices Backside Circuit Edit on Full-Thickness Silicon Devices Presentation Title Line 1 Title Line Two Can I really skip the global thinning step?! Date Presenter Name Chad Rue FEI Company, Hillsboro, OR, USA

More information

NGUYENV4.TXT. Micro-Electro-Mechanical Systems: Scaling Beyond the Electrical Domain Clark Nguyen

NGUYENV4.TXT. Micro-Electro-Mechanical Systems: Scaling Beyond the Electrical Domain Clark Nguyen Micro-Electro-Mechanical Systems: Scaling Beyond the Electrical Domain Clark Nguyen Smaller is better. Probably not a phrase you'll hear often in everyday conversation, but one that curiously rings true

More information

Broadcom AFEM-8072 Mid&High Band Front End module in iphone 8/X

Broadcom AFEM-8072 Mid&High Band Front End module in iphone 8/X Broadcom AFEM-8072 Mid&High Band Front End module in iphone 8/X RF report by Stéphane ELISABETH February 2018 version 1 2018 by System Plus Consulting Broadcom AFEM-8072 1 Table of Contents 4 o Executive

More information

Winning Metro 100G. 100G Price Challenge. Daryl Inniss, PhD. ECOC 2013, Market Focus. 23 September 2013

Winning Metro 100G. 100G Price Challenge. Daryl Inniss, PhD. ECOC 2013, Market Focus. 23 September 2013 100G Price Challenge Winning Metro 100G Daryl Inniss, PhD daryl.inniss@ovum.com ECOC 2013, Market Focus 23 September 2013 1 Copyright Ovum. All rights reserved. Ovum is a subsidiary of Informa plc. Outline

More information

Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures

Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures TEL Technology Center, America, LLC - imec Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures SPCC, April 10, 2018 S. Kal 1, C. Pereira 1, Y. Oniki 2,

More information

FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect. MEMS Packaging

FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect. MEMS Packaging FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect MEMS Packaging Lecture topics Introduction to MEMS packaging concerns: Why MEMS packaging are more challenging than IC packaging

More information

Advanced laser technology. Company presentation

Advanced laser technology. Company presentation Advanced laser technology KORTherm Science Company presentation 1 Vision Laser cutting Solar cell patterning FPD ELA Laser Total Solution Medical Device Laser drilling Marking Scribing Laser Patterning

More information

Screen investigations for low energetic electron beams at PITZ

Screen investigations for low energetic electron beams at PITZ 1 Screen investigations for low energetic electron beams at PITZ S. Rimjaem, J. Bähr, H.J. Grabosch, M. Groß Contents Review of PITZ setup Screens and beam profile monitors at PITZ Test results Summary

More information

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process Process Review 3685 Richmond Road, Suite 500, Ottawa, ON K2H

More information

Digital Light Processing

Digital Light Processing A Seminar report On Digital Light Processing Submitted in partial fulfillment of the requirement for the award of degree of Bachelor of Technology in Computer Science SUBMITTED TO: www.studymafia.org SUBMITTED

More information

ENGINEERING COMMITTEE Interface Practices Subcommittee SCTE Test Method for Cable Weld Integrity

ENGINEERING COMMITTEE Interface Practices Subcommittee SCTE Test Method for Cable Weld Integrity ENGINEERING COMMITTEE Interface Practices Subcommittee SCTE 178 2011 Test Method for Cable Weld Integrity NOTICE The Society of Cable Telecommunications Engineers (SCTE) Standards are intended to serve

More information