TTCrx, AN ASIC FOR TIMING, TRIGGER AND CONTROL DISTRIBUTION IN LHC EXPERIMENTS

Size: px
Start display at page:

Download "TTCrx, AN ASIC FOR TIMING, TRIGGER AND CONTROL DISTRIBUTION IN LHC EXPERIMENTS"

Transcription

1 TTCrx, AN ASIC FOR TIMING, TRIGGER AND CONTROL DISTRIBUTION IN LHC EXPERIMENTS J. Christiansen, A. Marchioro P. Moreira, CERN - ECP/MIC, Geneva, Switzerl ABSTRACT An ASIC receiver was developed for the LHC Timg, Trigger Control (TTC) distribution system. The ASIC implements an terface between the front end electronics the TTC system makg the TTC codg multiplexg schemes transparent to the users. The receiver delivers the LHC timg reference signal, the first level trigger decisions its associated bunch event numbers. It can be programmed to compensate for the propagation delays associated with the detectors their electronics. The IC supports the transmission of data of synchronised broadcast comms. The ASIC was implemented a stard 1 µm CMOS process usg a combation of full custom stard cell design techniques. The jitter measured on the recovered clock is less than 8 ps RMS for put optical powers down to -22 dbm. The time deskewg functions allows the comms the first level trigger accepignal to be phase shifted up to a maximum of sixteen clock cycles steps of.1 ns with an RMS error of 92 ps. 1. INTRODUCTION A passive optical fibre network has been proposed to distribute the LHC Timg, Trigger Control (TTC) formation to several thous front-end electronic destations usg a sgle laser source [1,2]. At the transmitter end, two communication channels are time division multiplexed coded the BiPhase Mark (BPM) format, before they are optically transmitted over the network. One of the multiplexed channels carries the firstlevel trigger-accepignal while the other is used to transmit general broadcast dividually addressed comms. A timg receiver is associated to each of the puts of the optical network. This receiver is composed of a commercial tegrated photodetector-preamplifier the special purpose IC (TTCrx) described this paper [3]. The TTCrx ASIC receives the formation broadcast over the TTC distribution network makes it available to electronics both side side the LHC detectors. 2. TTCrx ARCHITECTURE The ma functions of the timg receiver are to recover the 4.8 MHz LHC reference clock with mimum jitter, to distribute the first-level trigger-accept decisions broadcast comms to make them available to the detector electronics properly deskewed time. Additionally, the receiver recognises dividually addressed comms for purposes of ternal external control. Bunch crossg event identification numbers are also made available. Figure 1 shows the architecture chosen to implement this functionality. In this figure the broken le represents the boundary between the full custom the stard cell part of the design. The full custom part implements all the analogue timg critical functions of the receiver, while the stard cell design implements the digital control non-time critical functions. Input from PINFET Full Custom Stard Cells Local Address JTAG Lear Receiver Internal Registers Control Clock Extraction Data Decoder/ Demultiplexer A 4φ B A-B Channels Identification Error Monitorg Serial/Parallel Converter Programmable Fe Deskews Control & Data Interface Bunch/Event Counters Coarse Deskew Functions Figure 1 Timg receiver chip block diagram CLK φ1 CLKφ2 L1 Accept Bunch No/ Event No Bcast CMDS/ Sub-address As shown Figure 1, the ASIC receives the TTC data the form of an electrical signal from the optical preamplifier. Due to the optical power levels detected by the preamplifier, this signal needs to be amplified to CMOS levels before it can be used for clock recovery, data decodg demultiplexg. The unit marked as Lear Receiver Figure 1 implements that function. Signal level detection automatic ga control are also taken care of side this block. After the signal is restored to CMOS levels, it is fed to the Clock Extraction the Data Decoder/ Demultiplexer units where the LHC system clock is recovered with mimum jitter, the trigger (A) data (B) channels are separated. The recovered clock is then fed to the Programmable Fe Deskew unit where two different clock phases, synchronous with the LHC system clock, are generated. The phases of the two clocks can be controlled dependently via comms on the B channel. The Programmable Fe Deskew unit allows the two clock phases to be changed steps of 14 ps between 25 ns. The TTCrx control logic consists of three major blocks. The first block contas the ternal configuration status registers implements the logic necessary to read a 14 bit number from an external serial configuration PROM that supplies the TTCrx ASIC with its unique system address. The Data Error

2 logic this block also implements a functional subset of the JTAG/IEEE stard [4] providg the capability for the ASIC to be used board-level connectivity tests. The second block identifies the trigger data channels constantly monitors the data channel B for transmission errors. It deserializes the received data decides if these are addressed to the IC itself or to some external addressable or common space. Fally, the third functional block implements two dependently programmed coarse deskewg functions for the first-level trigger signal the broadcast comms. The related control registers can be programmed by dividually addressed data transmitted over the B channel. Both first-level trigger broadcast comms can be deskewed over a range of 16 bunchcrossg tervals. 2.1 The Lear Receiver The signal received by the optical receiver preamplifier is amplified converted to CMOS levels by the Lear Receiver unit whose block diagram is given Figure 2. Ga Controlled Amplifier Discrimator Sce the biphase mark codg scheme is characterised by constant phase versions, it is not possible to recover the clock directly from the data with some kd of preprocessg of the signal or a lockg acquisition aid mechanism. Typically, circuits designed to recover the clock from a BPM signal require an external quartz oscillator to serve as a timg reference the itial phase of the lock acquisition process. However, for the application question, it was undesirable to adopuch a solution. To solve the lock acquisition problem, a strategy was adopted where the signal of the discrimator is first fed to a sequential circuit that generates a reference clock signal from the BPM encoded data. This signal is then filtered by a narrow bwidth Phase Locked Loop (PLL) that generates the desired low jitter reference clock. A simplified diagram of the clock reference generator circuit is shown Figure 3. A) B- Delay Locked Loop t p DLL control voltage a R S t p ÈÀÀÉDT A Peak Detector a Ref. Figure 2 TTCrx Lear Receiver unit block diagram As shown the above diagram, the lear part of the TTCrx is composed of a Ga Controlled Amplifier (GCA), a peak detector, a loop amplifier, a loop filter (tegrator) a discrimator. The signal path this design is fully differential with the conversion to sgle ended takg place only the lastage of the discrimator. To achieve a ga bwidth product compatible with the system requirements, usg the relatively slow 1µm CMOS process, the ga controlled amplifier was designed as a cascade of six identical ga stages. The design is similar to that reported [5], but with the triode voltage controlled resistors replaced by learised floatg resistors [6]. The put of the ga controlled amplifier is converted to CMOS logic levels by the discrimator. A moderate amount of positive feedback is used this circuit to achieve fast operation while at the same time avoidg undesirable hysteresis effects [7]. Fally, sce the expected optical signal power variations are maly due to fibre darkeng under radiation to the laser transmitter ageg, the ga control loop was designed with a long time constant. 2.2 Clock Data Extraction R S Figure 3 A) Clock reference generator circuit B) Timg diagram In this circuit, at the put of the XOR gate (pot a Figure 3), rectangular pulses are generated at each transition of the puignal. The sequential circuit, composed of the AND gate, the RS flip-flop the time delays t p, suppresses the pulses generated by the half bit terval transitions of the BPM encoded data. In this way, at the put, a periodic signal of twice the LHC clock frequency is generated. After division by two, this signal is used as time reference by the narrow b PLL as illustrated Figure 4. Note that, after itialisation, the reference signal always aligns with the data bit boundaries once the first data zero is detected 1. The correct operation of this circuit depends strongly on the circuit s ability to correctly set the time delay. The precision required is superior to what can be expected from process parameters temperature variations. To overcome this problem, a Delay Locked Loop (DLL) is used 1 The itialisation circuit has been omitted from the figure for simplicity.

3 to regulate the delay. A special Phase Detector (PD) was designed which allows the DLL to lock directly on the BPM encoded data. When the delay is built controlled the same way as the delay cha the DLL, it is possible to obta a good precision. clock signal but this time t (N-1) = T/(N-1) seconds apart. By appropriate put tap selection each DLL the clock signal can be shifted with a time resolution that is given by: t = t (N-1) - t N N Phase Detector Clock Reference Generator D U/D R U V D Charge Pump (1) Charge Pump (2) P I VCO Φ4 Φ3 Φ2 Φ1 Φ MUX N-1 Loop Filter sel Phase Detector. Figure 4 Phase Frequency Locked Loop block diagram MUX Loop Filter sel The Phase Frequency Locked Loop (PFLL) represented Figure 4 uses separate frequency phase detectors the control loop. The loop is designed such a way that the frequency detector domates the PFLL behaviour when far away from lock. In this case, the PFLL has a frequency sensitive operation. When lock, the loop behaviour is dictated by the phase detector alone. Sce this last has a better phase resolution than the frequency detector, it is possible to obta a frequency sensitive PLL while, at the same time, matag good phase resolution. This mimises the jitter of the recovered clock while keepg the loop operation tolerant to process temperature variations with the need for external trimmg components. The PFLL uses a three state phase detector [8] for frequency acquisition a D flip-flop type PD [9,1] for phase acquisition trackg. Data decodg demultiplexg of the trigger data channels are made side the Data Decoder/Demultiplexer unit usg the four-phase clock signals generated the clock recovery circuit. 2.3 Fe Clock Deskewg Function The recovered clock is fed to the Programmable Fe Deskew unit. There, two dependently controlled clock signals are generated made externally available. The two clock signals are controlled usg data transmitted over the TTC distribution system. They can be programmed steps of 14 ps up to a maximum delay correspondg to a bunch crossg terval. The Programmable Fe Deskew unit contas two identical programmable delay generators to produce the two dependent clocks. In order to obta a sub-gate delay resolution a novel architecture based on two staggered delay locked loops was used. Its prciple of operation can be easily understood with reference to Figure 5. In this scheme, the first DLL generates N replicas of the recovered clock each one of them delayed by t N = T/N seconds from the previous one, where T is the recovered clock period. One of these signals is selected as the put to the followg delay locked loop. The second DLL generates N-1 copies of the. Figure 5 Programmable sub-gate resolution delay generator In the present case, N=16 was used, resultg a mimum combed time step of 14. ps The scheme presented here has the advantages of providg well defed time steps of beg self calibratg sce it uses the LHC recovered system clock as the timg reference. The circuit operation details of the DLL used to implement the programmable delay generators have been previously described [1] Digital Control Logic Functionality Each TTCrx IC is identified the distribution network by a unique 14-bit channel Identification (ID) number. This number is read from the serial PROM at power up or after a reload ID broadcast comm is received. The ASIC control logic identifies the A B channels, deserializes the data the B channel contuously monitors it to look for the presence of its ID channel number. Data channel B can be of two types [1,2]: Broadcast comms dividually addressed data/comms. Broadcast comms are used to distribute messages to all TTC receivers the system. When detected, these comms are executed by all the timg ASICs. These messages are also made available to the side electronics. The dividually addressed data/comms are implemented the TTC system to transmit user-defed data comms over the network. These comms have two distct modes of operation. In the first mode, they are aimed at the TTC receivers themselves their user-defed content is used to control the receiver s operation. In the second mode, the data are tended for the external electronics. In this case, both the data sub-address contents of the received comms are made externally available. Both the broadcast the dividually addressed comms are transmitted over the TTC network usg a frame format

4 that has been specified reference [3]. The frame structure contas several fields to control the transmission cludes a field which several redundant bits are serted for error detection correction. The codg scheme used is a stard Hammg code with the capability of double error detection sgle bit error correction. Error detection correction is implemented for both the broadcast the dividually addressed comms. The TTCrx contas several ternal registers used for control monitorg of its operation. These registers are: The Configuration register, Control register, Coarse Delay register, Fe Delay registers, Bunch Counter register, Event Counter register, Sgle Bit Error Counter Double Bit/Frame Error counter. The Configuration register contas the configuration bits read durg itialisation from the external serial PROM. It is used to store the 14-bit chip ID to set up some of the different ASIC operation test modes. The Control register is used to mimise the IC power consumption by allowg the disablg of some of the chip functionality applications that do not require it. For stance, the Event Bunch counters the Address Data buses can be disabled if not required by the external electronics. The Coarse Delay register holds the deskewg parameters for the First Level Trigger Accept (L1A) the Bunch Counter Reseignals. The contents of this register conjunction with that of the Fe Delay register affects the total amount of deskewg. Sce the same deskewg is applied to the L1A signal the broadcast comms, deskewg of the latter ones will also have to be performed at the source of the TTC system to compensate for the time necessary to transmit decode these comms. The Fe Delay registers hold the deskewg parameters that control the programmable delay generator discussed previously. When combed with the coarse deskewg functions, a compensation range of 16 bunch-crossg tervals is obtaed. This allows a substantial marg beyond the possible maximum variations due to differences timeof-flight optical fibre path lengths the detectors. The Bunch Counter the Event Counter registers are free runng counters that are cremented by the recovered clock the L1A signals, respectively. These counters can be reset by specially defed broadcast comms. The Bunch Counter register content, which is a 12-bit number, is normally available to the side logic. However, durg the two clock cycles followg a trigger accept, the 24-bit Event Number register content can optionally be made available to the side electronics on the same 12 put les. Fally, the Sgle Bit Error the Double Bit/Frame Error counters are used to keep track of the number of errors occurrg durg data reception. Sce the receiver Hammg decoder is capable of fully recoverg from sgle bit errors, the data are accepted after correction the Sgle Bit Error register cremented. When a double bit error is recognised by the receiver logic or a frame error is detected, the data are ignored the contents of the Double Bit/Frame Error register cremented. The contents of the ternal error counters are dumped on the external data bus when an error dump broadcast comm is issued by the central TTC system. 3. MEASUREMENTS In this section we present the measurement results for the clock recoverg fe deskewg functions. Measurement results concerng the analogue functions of the IC have already been reported a previous publication [12]. 3.1 Clock Recoverg Fe Deskew Function The IC was connected to a 11 KΩ photodetector-preamplifier the jitter of the recovered clock measured. The put optical signal was a biphase mark encoded PRBS provided by the TTC transmitter. Figure 6 shows the measurement results for the recovered clock jitter at the put of the reference generator circuit (doted le), at the put of the PLL (solid le) at the put of the programmable delay generator (dashed le). Measured rms jitter (ps) TTCrx Jitter Ref. Gen Input optical power (dbm) PLL DLL Figure 6 Measured RMS jitter From this figure it can be seen that the jitter of the recovered clock is always less than 8 ps RMS over the whole operation range. This figure also shows that the programmable delay generator is not troducg any significant amount of jitter that the PFLL is effectively filterg the jitter of the signal generated by the reference generator circuit. The learity of the of the delay generator was also measured the results are shown Figure 7 Figure 8. The delay generator allows the clock phase to be shifted steps of.1 ns up to a maximum of 25 ns with an RMS error of 92 ps. It is, however, necessary to mention that the learity of the programmable delay generator is strongly fluenced by the

5 presence of parasitic package ductance. The results reported here were obtaed with the IC directly bonded to the PCB to mimise ductance. For a common package (PGA 1) the RMS error degrades to 2 ps. Different packagg solutions for the TTCrx are now beg studied order to mimise this effect. Measured delay (ns) PCB bonded chip Programmed delay (ns) Figure 7 Measured delay as function of the programmed delay RMS =.915ns PP =.4885ns PCB bonded chip Measured delay error (ns) Figure 8 Delay error histogram 4. CONCLUSION An ASIC receiver to be used with the LHC Timg, Trigger Control distribution system was designed, fabricated tested. The receiver is tended to recover the LHC reference clock to distribute it together with first level trigger decisions to the detector electronics properly deskewed time. Additionally, the receiver allows broadcast addressed comms to be transmitted over the network. The measurement results show that it is possible to recover the LHC clock from the TTC multiplexed encoded data with an RMS jitter better than 8 ps to control its phase steps of.1 ns with an RMS error smaller than 1 ps. 5. ACKNOWLEDGEMENTS The authors wish to acknowledge Bruce G. Taylor for valuable discussions on this work Ernst Murer for the preparation of the teset-up for helpg with the measurements. REFERENCES [1] B. G. Taylor, Timg, Trigger Control (TTC) Systems for LHC Detectors, CERN/ECP tro.html. [2] B. G. Taylor, TTC Distribution, Proceedgs of the First Workshop on Electronics for LHC Experiments, Lisbon, September 1995, (CERN/LHCC/95-56), pp [3] J. Christiansen, A. Marchioro P. Moreira, TTCrx Reference Manual A Timg, Trigger Control Distribution Receiver ASIC for LHC Detectors, CERN/RD12 workg document. [4] C. M. Maunder R. E. Tulloss, The Test Access Port Boundary-Scan Architecture, IEEE Computer Society Press, 199 [5] T. H. Hu P. R. Gray, A Monolithic 48 Mb/s Parallel AGC/Decision/Clock-Recovery Circuit 1.2- µm CMOS, J. Solid-State Circuits, vol. SC-28, pp , December [6] M. Banu Y. Tsividis, Floatg Voltage-Controlled Resistors CMOS Technology, Electronics Letters, vol. 18, pp , July [7] D. J. Allstot, A Precision Variable-Supply CMOS Comparator, J. Solid-State Circuits, vol. SC-17, pp , December [9] M. Soyuer R. Meyer, Frequency Limitations of a Conventional Phase-Frequency Detector, J. Solid-State Circuits, vol. SC-25, pp , August 199. [1]M. Johnson E. Hudson, A Variable Delay Le for CPU Co-Processor Synchronization, J. Solid-State Circuits, vol. SC-23, pp , October [11] J. Christiansen, An Integrated CMOS.15ns Digital Timg Generator for TDC s Clock Distribution Systems, IEEE Trans. Nuclear Science, vol. 42, pp , August [12] J. Christiansen, A. Marchioro, P. Moreira, A. Sancho, Receiver ASIC for Timg, Trigger Control Distribution LHC Experiments, Trans. Nuclear Science, vol. 43, pp , June 1996.

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 J. M. Bussat 1, G. Bohner 1, O. Rossetto 2, D. Dzahini 2, J. Lecoq 1, J. Pouxe 2, J. Colas 1, (1) L. A. P. P. Annecy-le-vieux, France (2) I. S. N. Grenoble,

More information

CMS Conference Report

CMS Conference Report Available on CMS information server CMS CR 1997/017 CMS Conference Report 22 October 1997 Updated in 30 March 1998 Trigger synchronisation circuits in CMS J. Varela * 1, L. Berger 2, R. Nóbrega 3, A. Pierce

More information

TTCrx Reference Manual

TTCrx Reference Manual TTCrx Reference Manual A Timing, Trigger and Control Receiver ASIC for LHC Detectors J. Christiansen, A. Marchioro, P. Moreira * and T. Toifl CERN - EP/MIC, Geneva Switzerland December 2005 Version 3.11

More information

A low jitter clock and data recovery with a single edge sensing Bang-Bang PD

A low jitter clock and data recovery with a single edge sensing Bang-Bang PD LETTER IEICE Electronics Express, Vol.11, No.7, 1 6 A low jitter clock and data recovery with a single edge sensing Bang-Bang PD Taek-Joon Ahn, Sang-Soon Im, Yong-Sung Ahn, and Jin-Ku Kang a) Department

More information

BABAR IFR TDC Board (ITB): system design

BABAR IFR TDC Board (ITB): system design BABAR IFR TDC Board (ITB): system design Version 1.1 12 december 1997 G. Crosetti, S. Minutoli, E. Robutti I.N.F.N. Genova 1. Introduction TDC readout of the IFR will be used during BABAR data taking to

More information

BABAR IFR TDC Board (ITB): requirements and system description

BABAR IFR TDC Board (ITB): requirements and system description BABAR IFR TDC Board (ITB): requirements and system description Version 1.1 November 1997 G. Crosetti, S. Minutoli, E. Robutti I.N.F.N. Genova 1. Timing measurement with the IFR Accurate track reconstruction

More information

A MISSILE INSTRUMENTATION ENCODER

A MISSILE INSTRUMENTATION ENCODER A MISSILE INSTRUMENTATION ENCODER Item Type text; Proceedings Authors CONN, RAYMOND; BREEDLOVE, PHILLIP Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

Asynchronous inputs. 9 - Metastability and Clock Recovery. A simple synchronizer. Only one synchronizer per input

Asynchronous inputs. 9 - Metastability and Clock Recovery. A simple synchronizer. Only one synchronizer per input 9 - Metastability and Clock Recovery Asynchronous inputs We will consider a number of issues related to asynchronous inputs, multiple clock domains, clock synchronisation and clock distribution. Useful

More information

3. Sequential Logic 1

3. Sequential Logic 1 Chapter 3: Sequential Logic 1 3. Sequential Logic 1 Time is the substance from which I am made. Time is a river which carries me along, but I am the river; it is a tiger that devours me, but I am the tiger;

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

PICOSECOND TIMING USING FAST ANALOG SAMPLING

PICOSECOND TIMING USING FAST ANALOG SAMPLING PICOSECOND TIMING USING FAST ANALOG SAMPLING H. Frisch, J-F Genat, F. Tang, EFI Chicago, Tuesday 6 th Nov 2007 INTRODUCTION In the context of picosecond timing, analog detector pulse sampling in the 10

More information

Description of the Synchronization and Link Board

Description of the Synchronization and Link Board Available on CMS information server CMS IN 2005/007 March 8, 2005 Description of the Synchronization and Link Board ECAL and HCAL Interface to the Regional Calorimeter Trigger Version 3.0 (SLB-S) PMC short

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

A 5-Gb/s Half-rate Clock Recovery Circuit in 0.25-μm CMOS Technology

A 5-Gb/s Half-rate Clock Recovery Circuit in 0.25-μm CMOS Technology A 5-Gb/s Half-rate Clock Recovery Circuit in 0.25-μm CMOS Technology Pyung-Su Han Dept. of Electrical and Electronic Engineering Yonsei University Seoul, Korea ps@tera.yonsei.ac.kr Woo-Young Choi Dept.

More information

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Akash Singh Rawat 1, Kirti Gupta 2 Electronics and Communication Department, Bharati Vidyapeeth s College of Engineering,

More information

Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis

Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis October 31, 2003 Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis Table of Contents List of Figures...Page 1 Introduction...Page 4 Device Summary Sheet...Page 6 Top Level Diagram...Tab

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur SEQUENTIAL LOGIC Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur www.satish0402.weebly.com OSCILLATORS Oscillators is an amplifier which derives its input from output. Oscillators

More information

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features 6.25 Gbps multi-rate, multi-lane, SerDes macro IP Data brief Txdata1_in Tx1_clk Bist1 Rxdata1_out Rx1_clk Txdata2_in Tx2_clk Bist2 Rxdata2_out Rx2_clk Txdata3_in Tx3_clk Bist3 Rxdata3_out Rx3_clk Txdata4_in

More information

ISSCC 2006 / SESSION 18 / CLOCK AND DATA RECOVERY / 18.6

ISSCC 2006 / SESSION 18 / CLOCK AND DATA RECOVERY / 18.6 18.6 Data Recovery and Retiming for the Fully Buffered DIMM 4.8Gb/s Serial Links Hamid Partovi 1, Wolfgang Walthes 2, Luca Ravezzi 1, Paul Lindt 2, Sivaraman Chokkalingam 1, Karthik Gopalakrishnan 1, Andreas

More information

Synchronization Issues During Encoder / Decoder Tests

Synchronization Issues During Encoder / Decoder Tests OmniTek PQA Application Note: Synchronization Issues During Encoder / Decoder Tests Revision 1.0 www.omnitek.tv OmniTek Advanced Measurement Technology 1 INTRODUCTION The OmniTek PQA system is very well

More information

Laboratory 4. Figure 1: Serdes Transceiver

Laboratory 4. Figure 1: Serdes Transceiver Laboratory 4 The purpose of this laboratory exercise is to design a digital Serdes In the first part of the lab, you will design all the required subblocks for the digital Serdes and simulate them In part

More information

ASNT8140. ASNT8140-KMC DC-23Gbps PRBS Generator with the (x 7 + x + 1) Polynomial. vee. vcc qp. vcc. vcc qn. qxorp. qxorn. vee. vcc rstn_p.

ASNT8140. ASNT8140-KMC DC-23Gbps PRBS Generator with the (x 7 + x + 1) Polynomial. vee. vcc qp. vcc. vcc qn. qxorp. qxorn. vee. vcc rstn_p. ASNT8140-KMC DC-23Gbps PRBS Generator with the (x 7 + x + 1) Polynomial Full-length (2 7-1) pseudo-random binary sequence (PRBS) generator DC to 23Gbps output data rate Additional output delayed by half

More information

CHAPTER1: Digital Logic Circuits

CHAPTER1: Digital Logic Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits 1 Sequential Circuits Introduction Composed of a combinational circuit to which the memory elements are connected to form a feedback

More information

Logic Design Viva Question Bank Compiled By Channveer Patil

Logic Design Viva Question Bank Compiled By Channveer Patil Logic Design Viva Question Bank Compiled By Channveer Patil Title of the Practical: Verify the truth table of logic gates AND, OR, NOT, NAND and NOR gates/ Design Basic Gates Using NAND/NOR gates. Q.1

More information

Dual Link DVI Receiver Implementation

Dual Link DVI Receiver Implementation Dual Link DVI Receiver Implementation This application note describes some features of single link receivers that must be considered when using 2 devices for a dual link application. Specific characteristics

More information

Chapter 9 MSI Logic Circuits

Chapter 9 MSI Logic Circuits Chapter 9 MSI Logic Circuits Chapter 9 Objectives Selected areas covered in this chapter: Analyzing/using decoders & encoders in circuits. Advantages and disadvantages of LEDs and LCDs. Observation/analysis

More information

Synchronizing Multiple ADC08xxxx Giga-Sample ADCs

Synchronizing Multiple ADC08xxxx Giga-Sample ADCs Application Bulletin July 19, 2010 Synchronizing Multiple 0xxxx Giga-Sample s 1.0 Introduction The 0xxxx giga-sample family of analog-to-digital converters (s) make the highest performance data acquisition

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

The Read-Out system of the ALICE pixel detector

The Read-Out system of the ALICE pixel detector The Read-Out system of the ALICE pixel detector Kluge, A. for the ALICE SPD collaboration CERN, CH-1211 Geneva 23, Switzerland Abstract The on-detector electronics of the ALICE silicon pixel detector (nearly

More information

Large Area, High Speed Photo-detectors Readout

Large Area, High Speed Photo-detectors Readout Large Area, High Speed Photo-detectors Readout Jean-Francois Genat + On behalf and with the help of Herve Grabas +, Samuel Meehan +, Eric Oberla +, Fukun Tang +, Gary Varner ++, and Henry Frisch + + University

More information

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil ADC Peripheral in s Petr Cesak, Jan Fischer, Jaroslav Roztocil Czech Technical University in Prague, Faculty of Electrical Engineering Technicka 2, CZ-16627 Prague 6, Czech Republic Phone: +420-224 352

More information

EE241 - Spring 2005 Advanced Digital Integrated Circuits

EE241 - Spring 2005 Advanced Digital Integrated Circuits EE241 - Spring 2005 Advanced Digital Integrated Circuits Lecture 21: Asynchronous Design Synchronization Clock Distribution Self-Timed Pipelined Datapath Req Ack HS Req Ack HS Req Ack HS Req Ack Start

More information

A pixel chip for tracking in ALICE and particle identification in LHCb

A pixel chip for tracking in ALICE and particle identification in LHCb A pixel chip for tracking in ALICE and particle identification in LHCb K.Wyllie 1), M.Burns 1), M.Campbell 1), E.Cantatore 1), V.Cencelli 2) R.Dinapoli 3), F.Formenti 1), T.Grassi 1), E.Heijne 1), P.Jarron

More information

ASNT8142-KMC Generator of DC-to-23Gbps PRBS with Selectable Polynomials

ASNT8142-KMC Generator of DC-to-23Gbps PRBS with Selectable Polynomials ASNT8142-KMC Generator of DC-to-23Gbps PRBS with Selectable Polynomials Full-length (2 15-1) or (2 7-1) pseudo-random binary sequence (PRBS) generator Selectable power of the Polynomial DC to 23Gbps output

More information

Analogue Versus Digital [5 M]

Analogue Versus Digital [5 M] Q.1 a. Analogue Versus Digital [5 M] There are two basic ways of representing the numerical values of the various physical quantities with which we constantly deal in our day-to-day lives. One of the ways,

More information

Using the MAX3656 Laser Driver to Transmit Serial Digital Video with Pathological Patterns

Using the MAX3656 Laser Driver to Transmit Serial Digital Video with Pathological Patterns Design Note: HFDN-33.0 Rev 0, 8/04 Using the MAX3656 Laser Driver to Transmit Serial Digital Video with Pathological Patterns MAXIM High-Frequency/Fiber Communications Group AVAILABLE 6hfdn33.doc Using

More information

RX40_V1_0 Measurement Report F.Faccio

RX40_V1_0 Measurement Report F.Faccio RX40_V1_0 Measurement Report F.Faccio This document follows the previous report An 80Mbit/s Optical Receiver for the CMS digital optical link, dating back to January 2000 and concerning the first prototype

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Topic D-type Flip-flops. Draw a timing diagram to illustrate the significance of edge

Topic D-type Flip-flops. Draw a timing diagram to illustrate the significance of edge Topic 1.3.2 -type Flip-flops. Learning Objectives: At the end of this topic you will be able to; raw a timing diagram to illustrate the significance of edge triggering; raw a timing diagram to illustrate

More information

Clocking Spring /18/05

Clocking Spring /18/05 ing L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle L06 s 2 igital Systems Timing Conventions All digital systems need a convention

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

Chapter 3: Sequential Logic Systems

Chapter 3: Sequential Logic Systems Chapter 3: Sequential Logic Systems 1. The S-R Latch Learning Objectives: At the end of this topic you should be able to: design a Set-Reset latch based on NAND gates; complete a sequential truth table

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

A Serializer ASIC at 5 Gbps for Detector Front-end Electronics Readout

A Serializer ASIC at 5 Gbps for Detector Front-end Electronics Readout A Serializer ASIC at 5 Gbps for Detector Front-end Electronics Readout Jingbo Ye, on behalf of the ATLAS Liquid Argon Calorimeter Group Department of Physics, Southern Methodist University, Dallas, Texas

More information

ECE 263 Digital Systems, Fall 2015

ECE 263 Digital Systems, Fall 2015 ECE 263 Digital Systems, Fall 2015 REVIEW: FINALS MEMORY ROM, PROM, EPROM, EEPROM, FLASH RAM, DRAM, SRAM Design of a memory cell 1. Draw circuits and write 2 differences and 2 similarities between DRAM

More information

psasic Timing Generator

psasic Timing Generator psasic Timing Generator Fukun Tang psasic Design Review July 1-2 2009 University of Chicago 1 Diagram of 40Gs/s Sampling Chip CLOCK (80MHz) IN(1:32) Timing Generator with 2 DLLs interleaved PD CP LF φ1

More information

Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL Application

Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL Application Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL Application Prof. Abhinav V. Deshpande Assistant Professor Department of Electronics & Telecommunication Engineering Prof.

More information

SDA 3302 Family. GHz PLL with I 2 C Bus and Four Chip Addresses

SDA 3302 Family. GHz PLL with I 2 C Bus and Four Chip Addresses GHz PLL with I 2 C Bus and Four Chip Addresses Preliminary Data Features 1-chip system for MPU control (I 2 C bus) 4 programmable chip addresses Short pull-in time for quick channel switch-over and optimized

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the 2 nd International Conference on Current Trends in Engineering and Management ICCTEM -2014 ISSN

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

DIGITAL ELECTRONICS MCQs

DIGITAL ELECTRONICS MCQs DIGITAL ELECTRONICS MCQs 1. A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register. A. 1 B. 2 C. 4 D. 8

More information

Samsung VTU11A0 Timing Controller

Samsung VTU11A0 Timing Controller Samsung VTU11A0 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 chipworks.com Some of the information in this report may be covered by patents, mask and/or copyright protection.

More information

Field Programmable Gate Array (FPGA) Based Trigger System for the Klystron Department. Darius Gray

Field Programmable Gate Array (FPGA) Based Trigger System for the Klystron Department. Darius Gray SLAC-TN-10-007 Field Programmable Gate Array (FPGA) Based Trigger System for the Klystron Department Darius Gray Office of Science, Science Undergraduate Laboratory Internship Program Texas A&M University,

More information

Decade Counters Mod-5 counter: Decade Counter:

Decade Counters Mod-5 counter: Decade Counter: Decade Counters We can design a decade counter using cascade of mod-5 and mod-2 counters. Mod-2 counter is just a single flip-flop with the two stable states as 0 and 1. Mod-5 counter: A typical mod-5

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware CS883: Advanced Digital Design for Embedded Hardware Lecture 4: Latches, Flip-Flops, and Sequential Circuits Instructor: Sung Kyu Lim (limsk@ece.gatech.edu) Website: http://users.ece.gatech.edu/limsk/course/cs883

More information

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic.

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic. Chapter 6. sequential logic design This is the beginning of the second part of this course, sequential logic. equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

A New Hardware Implementation of Manchester Line Decoder

A New Hardware Implementation of Manchester Line Decoder Vol:4, No:, 2010 A New Hardware Implementation of Manchester Line Decoder Ibrahim A. Khorwat and Nabil Naas International Science Index, Electronics and Communication Engineering Vol:4, No:, 2010 waset.org/publication/350

More information

EKT 121/4 ELEKTRONIK DIGIT 1

EKT 121/4 ELEKTRONIK DIGIT 1 EKT 2/4 ELEKTRONIK DIGIT Kolej Universiti Kejuruteraan Utara Malaysia Sequential Logic Circuits - COUNTERS - LATCHES (review) S-R R Latch S-R R Latch Active-LOW input INPUTS OUTPUTS S R Q Q COMMENTS Q

More information

Counter dan Register

Counter dan Register Counter dan Register Introduction Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory.

More information

EE273 Lecture 11 Pipelined Timing Closed-Loop Timing November 2, Today s Assignment

EE273 Lecture 11 Pipelined Timing Closed-Loop Timing November 2, Today s Assignment EE273 Lecture 11 Pipelined Timing Closed-Loop Timing November 2, 1998 William J. ally Computer Systems Laboratory Stanford University billd@csl.stanford.edu Copyright (C) by William J. ally, All Rights

More information

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24 2065 Computer Science and Information Technology (CSc. 151) Pass Marks: 24 Time: 3 hours. Candidates are required to give their answers in their own words as for as practicable. Attempt any TWO questions:

More information

Part 4: Introduction to Sequential Logic. Basic Sequential structure. Positive-edge-triggered D flip-flop. Flip-flops classified by inputs

Part 4: Introduction to Sequential Logic. Basic Sequential structure. Positive-edge-triggered D flip-flop. Flip-flops classified by inputs Part 4: Introduction to Sequential Logic Basic Sequential structure There are two kinds of components in a sequential circuit: () combinational blocks (2) storage elements Combinational blocks provide

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

Digital Circuits I and II Nov. 17, 1999

Digital Circuits I and II Nov. 17, 1999 Physics 623 Digital Circuits I and II Nov. 17, 1999 Digital Circuits I 1 Purpose To introduce the basic principles of digital circuitry. To understand the small signal response of various gates and circuits

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

MULTIDYNE INNOVATIONS IN TELEVISION TESTING & DISTRIBUTION DIGITAL VIDEO, AUDIO & DATA FIBER OPTIC MULTIPLEXER TRANSPORT SYSTEM

MULTIDYNE INNOVATIONS IN TELEVISION TESTING & DISTRIBUTION DIGITAL VIDEO, AUDIO & DATA FIBER OPTIC MULTIPLEXER TRANSPORT SYSTEM MULTIDYNE INNOVATIONS IN TELEVISION TESTING & DISTRIBUTION INSTRUCTION MANUAL DVM-1000 DIGITAL VIDEO, AUDIO & DATA FIBER OPTIC MULTIPLEXER TRANSPORT SYSTEM MULTIDYNE Electronics, Inc. Innovations in Television

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

CMS Tracker Synchronization

CMS Tracker Synchronization CMS Tracker Synchronization K. Gill CERN EP/CME B. Trocme, L. Mirabito Institut de Physique Nucleaire de Lyon Outline Timing issues in CMS Tracker Synchronization method Relative synchronization Synchronization

More information

GFT Channel Slave Generator

GFT Channel Slave Generator GFT1018 8 Channel Slave Generator Features 8 independent delay channels 1 ps time resolution < 100 ps rms jitter for optical triggered delays 1 second range Electrical or optical output Three trigger modes

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

Chapter 3: Sequential Logic

Chapter 3: Sequential Logic Elements of Computg Systems, Nisan & Schocken, MIT Press, 2005 www.idc.ac.il/tecs Chapter 3: Sequential Logic Usage and Copyright Notice: Copyright 2005 Noam Nisan and Shimon Schocken This presentation

More information

D Latch (Transparent Latch)

D Latch (Transparent Latch) D Latch (Transparent Latch) -One way to eliminate the undesirable condition of the indeterminate state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done

More information

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers EEE 304 Experiment No. 07 Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers Important: Submit your Prelab at the beginning of the lab. Prelab 1: Construct a S-R Latch and

More information

Communication Lab. Assignment On. Bi-Phase Code and Integrate-and-Dump (DC 7) MSc Telecommunications and Computer Networks Engineering

Communication Lab. Assignment On. Bi-Phase Code and Integrate-and-Dump (DC 7) MSc Telecommunications and Computer Networks Engineering Faculty of Engineering, Science and the Built Environment Department of Electrical, Computer and Communications Engineering Communication Lab Assignment On Bi-Phase Code and Integrate-and-Dump (DC 7) MSc

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware Copyright 2, 23 M Ciletti 75 STORAGE ELEMENTS: R-S LATCH CS883: Advanced igital esign for Embedded Hardware Storage elements are used to store information in a binary format (e.g. state, data, address,

More information

GALILEO Timing Receiver

GALILEO Timing Receiver GALILEO Timing Receiver The Space Technology GALILEO Timing Receiver is a triple carrier single channel high tracking performances Navigation receiver, specialized for Time and Frequency transfer application.

More information

RF2TTC and QPLL behavior during interruption or switch of the RF-BC source

RF2TTC and QPLL behavior during interruption or switch of the RF-BC source RF2TTC and QPLL behavior during interruption or switch of the RF-BC source Study to adapt the BC source choice in RF2TTC during interruption of the RF timing signals Contents I. INTRODUCTION 2 II. QPLL

More information

System: status and evolution. Javier Serrano

System: status and evolution. Javier Serrano CERN General Machine Timing System: status and evolution Javier Serrano CERN AB-CO-HT 15 February 2008 Outline Motivation Why timing systems at CERN? Types of CERN timing systems. The General Machine Timing

More information

Clock Domain Crossing. Presented by Abramov B. 1

Clock Domain Crossing. Presented by Abramov B. 1 Clock Domain Crossing Presented by Abramov B. 1 Register Transfer Logic Logic R E G I S T E R Transfer Logic R E G I S T E R Presented by Abramov B. 2 RTL (cont) An RTL circuit is a digital circuit composed

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS One common requirement in digital circuits is counting, both forward and backward. Digital clocks and

More information

The Matched Delay Technique: Wentai Liu, Mark Clements, Ralph Cavin III. North Carolina State University. (919) (ph)

The Matched Delay Technique: Wentai Liu, Mark Clements, Ralph Cavin III. North Carolina State University.   (919) (ph) The Matched elay Technique: Theory and Practical Issues 1 Introduction Wentai Liu, Mark Clements, Ralph Cavin III epartment of Electrical and Computer Engineering North Carolina State University Raleigh,

More information

VLSI Chip Design Project TSEK06

VLSI Chip Design Project TSEK06 VLSI Chip Design Project TSEK06 Project Description and Requirement Specification Version 1.1 Project: High Speed Serial Link Transceiver Project number: 4 Project Group: Name Project members Telephone

More information

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL 1. A stage in a shift register consists of (a) a latch (b) a flip-flop (c) a byte of storage (d) from bits of storage 2. To serially shift a byte of data into a shift register, there must be (a) one click

More information

Introduction to Microprocessor & Digital Logic

Introduction to Microprocessor & Digital Logic ME262 Introduction to Microprocessor & Digital Logic (Sequential Logic) Summer 2 Sequential Logic Definition The output(s) of a sequential circuit depends d on the current and past states of the inputs,

More information

Digital Phase Adjustment Scheme 0 6/3/98, Chaney. A Digital Phase Adjustment Circuit for ATM and ATM- like Data Formats. by Thomas J.

Digital Phase Adjustment Scheme 0 6/3/98, Chaney. A Digital Phase Adjustment Circuit for ATM and ATM- like Data Formats. by Thomas J. igital Phase Adjustment Scheme 6/3/98, haney A igital Phase Adjustment ircuit for ATM and ATM- like ata Formats by Thomas J. haney epartment of omputer Science University St. Louis, Missouri 633 tom@arl.wustl.edu

More information

Chapter 4: One-Shots, Counters, and Clocks

Chapter 4: One-Shots, Counters, and Clocks Chapter 4: One-Shots, Counters, and Clocks I. The Monostable Multivibrator (One-Shot) The timing pulse is one of the most common elements of laboratory electronics. Pulses can control logical sequences

More information

CS 110 Computer Architecture. Finite State Machines, Functional Units. Instructor: Sören Schwertfeger.

CS 110 Computer Architecture. Finite State Machines, Functional Units. Instructor: Sören Schwertfeger. CS 110 Computer Architecture Finite State Machines, Functional Units Instructor: Sören Schwertfeger http://shtech.org/courses/ca/ School of Information Science and Technology SIST ShanghaiTech University

More information

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops Objective Construct a two-bit binary decoder. Study multiplexers (MUX) and demultiplexers (DEMUX). Construct an RS flip-flop from discrete gates.

More information

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of 1 The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of the AND gate, you get the NAND gate etc. 2 One of the

More information

Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines

Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines MARY PAUL 1, AMRUTHA. E 2 1 (PG Student, Dhanalakshmi Srinivasan College of Engineering, Coimbatore) 2 (Assistant Professor, Dhanalakshmi

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information