4 of 40. Multi-ASIC reset synchronization Good Multi-Flip-Flop. Synthesis issues with reset nets. 3 of 40. Synchronous Resets? Asynchronous Resets?

Size: px
Start display at page:

Download "4 of 40. Multi-ASIC reset synchronization Good Multi-Flip-Flop. Synthesis issues with reset nets. 3 of 40. Synchronous Resets? Asynchronous Resets?"

Transcription

1 Synchronous Resets? Asynchronous Resets? I am so confused! How will I ever know which to use? &OLIIRUG(&XPPLQJV 'RQLOOV 6XQEXUVW'HVLJQ,Q /&'(QJLQHHULQJ OLII#VXQEXUVWGHVLJQRP PLOOV#OGPHQJRP ZZZVXQEXUVWGHVLJQRP ZZZOGPHQJRP Bad Multi-lip-lop oding Style 3 of Problem: dissimilar flip-flops in the same always block module badstyle (q2, d,, ); output q2; input d,, ; reg q2, q1; d BAD PARTITIONING Style creates EXTRA LOGI q1 ld q2 ) if (!) q1 <= 1'b; else begin q1 <= d; q2 <= q1; end endmodule q2 is only loaded if is high becomes a "load-data" signal VHDL model included in the paper Agenda "Simple Little Resets Paper" 2 of lip-flop coding styles Synchronous resets Asynchronous resets Design or Test (DT) considerations Reset-buffer tree Synthesis issues with reset nets Multi-clock resets Multi-ASI reset synchronization Good Multi-lip-lop oding Style of Solution: put dissimilar flip-flops in separate always blocks module goodstyle (q2, d,, ); output q2; input d,, ; reg q2, q1; d Good partitioning - no extra logic q1 q2 ) if (!) q1 <= 1'b; else q1 <= d; ) q2 <= q1; endmodule Note: To model sequential logic use nonblocking assignments q2 is loaded on every posedge No reset on the follower flip-flop VHDL model included in the paper

2 Modeling Synchronous Resets Synchonous reset: is not in the sensitivity list module ctrsr ( q, co, d, ld,, ); output [7:] q; output co; input [7:] d; input ld,, ; reg [7:] q; reg co; ) if (!) {co,q} <= 9'b; // sync reset else if (ld) {co,q} <= d; // sync load else {co,q} <= q + 1'b1; // sync increment endmodule VHDL model included in the paper VHDL versions would have required too many slides to show the same models or a microscopic font Modeling Asynchronous Resets Asynchonous reset: is in the sensitivity list module ctrar ( q, co, d, ld,, ); output [7:] q; output co; input [7:] d; input ld,, ; reg [7:] q; reg co; or negedge ) if (!) {co,q} <= 9'b; // async reset else if (ld) {co,q} <= d; // sync load else {co,q} <= q + 1'b1; // sync increment endmodule VHDL model included in the paper 5 of 7 of Synthesizing Synchronous Resets is in the sensitivity list "1" d ld + 9 i i1 sel Only can trigger the always block Synchronous (added path delay) Only can cause the outputs to change & ld are not in the sensitivity list (synchronous to ) ) if (!) {co,q} <= 9'b; else if (ld) {co,q} <= d; else {co,q} <= q + 1'b1; Synthesizing Asynchronous Resets "1" + d ld 9 i i1 9 sel1 1 Only or can cause the outputs to change Asynchronous (no extra path delay) or can trigger the always block or negedge ) if (!) {co,q} <= 9'b; else if (ld) {co,q} <= d; else {co,q} <= q + 1'b1; asynchronous, in the sensitivity list synchronous ld not in the sensitivity list q co q co 6 of of

3 Synchronous Resets Advantages & Disadvantages Advantages Easier to work with cycle based simulators (according to the RMM) Typically recommended for DT design Glitch filtering from reset combinational logic (to make up for poor design practices) Glitch filtering if reset is in a mission-critical application Disadvantages May not be able to come out of Unknown-X during simulation Adds delay to data path Asynchronous Reset Problem Problem: Asynchronous reset removal Will reset removal meet recovery time specification? t pd t rec is asynchronous to Potential problem: flip-flop could go metastable on reset-release if reset removal violates clock set/hold time 9 of 11 of Asynchronous Resets Advantages & Disadvantages 1 of Advantages Reset is immediate No problem related to Unknown-X-propagation in design simulation Does not interfere or add extra delay to the data path Very easy to implement Disadvantages Asynchronous signal - can go metastable on release Noisy reset line could cause unwanted resets DT designs prefer synchronous designs (??)... but there is a solution!... but this can be filtered... but this can be fixed 12 of Reset Synchronizer Advantage: Asynchronous reset assertion Advantage: Synchronous reset removal When reset is de-asserted asynchronously master is removed synchronously master pad_ pad_ Asynchronous reset assertion Guideline: EVERY ASI USING AN ASYNHRONOUS RESET SHOULD INLUDE A RESET SYNHRONIZER IRUIT!! Reset distribution buffer tree

4 Synchronous Reset Removal Solution 13 of Advantage: Synchronous reset removal Predictable reset removal to meet recovery time specification! t -q t pd t rec Guideline: EVERY ASI USING AN ASYNHRONOUS RESET SHOULD INLUDE A RESET SYNHRONIZER IRUIT!! master Synopsys Reset Switches 15 of Important reset-net switches Standard switches for reset nets set_drive set_dont_touch_network To set -resistance on a reset net (ESNUG #355, Item 2 & ESNUG #356, Item ) set_resistance Apply -resistance to the reset port with a custom wireload model in which resistance= set_wire_load -port_list reset Pre-Synopsys do both Added to v21.: to create ideal nets and force no timing updates, no delay optimization, and no DR fixing - use with set_false_path & set_disable_timing (SolvNet, Synthesis-7, Physical_Synthesis-231, Synthesis-219) set_ideal_net set_false_path & set_disable_timing Synopsys set_ideal_net removes transition time propagation oming to Synopsys set ideal "network" Reset Synchronizer Verilog RTL ode 1 of master Guideline: EVERY ASI USING AN ASYNHRONOUS RESET SHOULD INLUDE A RESET SYNHRONIZER IRUIT!! module reset_synchronizer (master,, ); output master; input, ; reg, rff1; or negedge ) if (!) {master,rff1} <= 2'b; else {master,rff1} <= {rff1,1'b1}; endmodule oncatenation makes for efficient coding of the reset synchronizer Asynchronous reset assertion (on negedge ) Synchronous reset removal (on posedge ) lock & Reset Loading 16 of lock distribution tree master Reset distribution tree NOTE: # clock loads ~ # reset loads!!

5 Reset Buffer Tree Driven from a Leaf-Driver lock lock distribution tree instantiation Sometimes it is difficult to tap into the center of the clock tree Resetsynchronizer is driven from a fanned-out clock master Reset distribution tree (reset must be removed before the next rising clock edges) Asynchronous Reset Glitch iltering Glitches on the input might cause stray resets Solution: glitch-filter on the input glitch delayed reset d f master filtered d delay f Low-asserted asynchronous reset Delayed reset De Morgan equivalent "or"-gate iltered reset 17 of 19 of Reset Buffer Tree Driven from a Source-Driver lock 1 of lock distribution tree instantiation Resetsynchronizer is driven from a source clock driver master Reset distribution tree (reset must not be removed before the rising clock edges) Asynchronous Reset & DT 2 of The process of applying the ATPG vectors to create a test is based on: scanning a known state into all the flip-flops in the chip switching the flip-flops from scan shift mode, to functional data input mode applying one functional clock switching the flip-flops back to scan shift mode to scan out the result of the one functional clock while scanning in the next test vector During the above process, the designer must insure that under NO ONDITIONS, an asynchronous set/reset can occur and thus corrupt the input vectors The asynchronous reset must be held in the inactive state during the entire test What about coverage to the portion of the chip controlled by the reset?

6 Asynchronous Reset & DT an I use an asynchronous reset with Design or Test (DT) strategies? scan in all ones into the scan chain issue and release the asynchronous reset scan out the result and scan in all zeros issue and release the reset scan out the result set the reset input to the non reset state and then apply the ATPG generated vectors Multiple lock Domains Synchronized Reset Removal This technique works to force ordered reset removal 1st a b c 21 of This will test for the reset line attached to either the asynchronous set or reset of a flip-flop 23 of a 2nd Resets are removed in an ordered sequence b 3rd c Multiple lock Domains Non-Synchronized Reset Removal 22 of This technique works if small reset removal timing differences are not a problem a a All resets removed at nearly the same time b b c c What About Synchronizing Multiple ASIs? 2 of Synchronizing reset removal on the same ASI is easily handled How do we precisely synchronize reset removal on multiple ASIs? We need to consider: Board layout and trace delays Mixture of fast and slow ASIs (due to process differences)

7 25 of Actual Design Solution High speed data acquisition product our interleaved identical ASIs each ASI samples the same data stream at phased differently from the other ASIs each ASI outputs a data value and a memory address to be stored the correct sample from each ASI must be correctly ordered and stored into address address counters are free-running after reset How can the four ASIs be reliably synchronized and sequenced to a common reset removal? One solution - "digital calibration" Valid "Ramp" Signal 27 of 1 Synchronizing a "Ramp" (correct synchronization) Synchronized example: input data "ramp" Data is being sampled by four different ASIs A E ASI#1 Sample #1 ASI#2 Sample #1 ASI#3 Sample #1 ASI# Sample #1 ASI#1 Sample #2 ASI#2 Sample #2 ASI#3 Sample #2 ASI# Sample #2 ASI#1 Sample #3 ASI#2 Sample #3 ASI#3 Sample #3 ASI# Sample #3 ASI#1 Sample # ASI#2 Sample # ASI#3 Sample # ASI# Sample # RAM1 Sample #1 RAM2 Sample #1 RAM3 Sample #1 RAM Sample #1 RAM1 Sample #2 RAM2 Sample #2 RAM3 Sample #2 RAM Sample #2 RAM1 Sample #3 RAM2 Sample #3 RAM3 Sample #3 RAM Sample #3 RAM1 Sample # RAM2 Sample # RAM3 Sample # RAM Sample # Synchronizing a "Ramp" (flawed synchronization) Problem example: input data "ramp" Data sampling is not synchronized A E ASI#1 Sample #1 ASI# Sample #1 ASI#1 Sample #2 ASI#3 Sample #1 ASI# Sample #2 ASI#1 Sample #3 ASI#2 Sample #1 ASI#3 Sample #2 ASI# Sample #3 ASI#1 Sample # ASI#2 Sample #2 ASI#3 Sample #3 ASI# Sample # RAM1 Sample #1 RAM2 Sample #1 RAM3 Sample #1 RAM Sample #1 RAM1 Sample #2 RAM2 Sample #2 RAM3 Sample #2 RAM Sample #2 RAM1 Sample #3 RAM2 Sample #3 RAM3 Sample #3 RAM Sample #3 RAM1 Sample # RAM2 Sample # RAM3 Sample # RAM Sample # A E E 5 6 A 26 of 2 of ASI#1 Sample #1 ASI#2 Sample #1 ASI#3 Sample #1 ASI# Sample #1 ASI#1 Sample #2 ASI#2 Sample #2 ASI#3 Sample #2 ASI# Sample #2 ASI#1 Sample #3 ASI#2 Sample #3 ASI#3 Sample #3 ASI# Sample #3 ASI#1 Sample # ASI#2 Sample # ASI#3 Sample # ASI# Sample # ASI#1 Sample #5 ASI#2 Sample #5 ASI#3 Sample #5 ASI# Sample #5 ASI#1 Sample #6 ASI#2 Sample #6

8 Invalid "Ramp" Signal 29 of ASI#1 Sample #1 ASI#2 Sample #1 ASI#3 Sample #1 ASI# Sample #1 ASI#1 Sample #2 ASI#2 Sample #2 ASI#3 Sample #2 ASI# Sample #2 ASI#1 Sample #3 ASI#2 Sample #3 ASI#3 Sample #3 ASI# Sample #3 ASI#1 Sample # ASI#2 Sample # ASI#3 Sample # ASI# Sample # ASI#1 Sample #5 ASI#2 Sample #5 ASI#3 Sample #5 ASI# Sample #5 ASI#1 Sample #6 ASI#2 Sample #6 1 Multi-ASI Reset? How? datain 1 ASI #1 3 ASI #3 1- have slightly difference phases 2 ASI #2 ASI # Reset sync net reset_n reset_n cannot be used to synchronize the ASIs 31 of addrout1 dataout1 addrout3 dataout3 addrout dataout addrout2 dataout2 Synchronization Problem Starting the Address ounters 3 of After removing reset, all address counters start incrementing Address counters are free running until a "trigger" halts data acquisition Data values are being fanned-out to multiple memory devices Waveform reconstruction happens as the data is read back from memory The data must be correctly sequenced in memory The address counters must: startup in the correct sequence all start within a 1-cycle clock period Otherwise, data storage and retrieval will be incorrectly sequenced Digital alibration 32 of Use programmable delays in each ASI to synchronize startup of each ASI after reset removal A range of working programmable delays must be determined choose the middle of the range Board layout (sync-signal trace lengths) impacts required delays Process variations impacts delays of each ASI Repeated ramp-capture to calibrate valid ranges for each ASI

9 Synchronization Logic 33 of Implementation shown on next slide addrcnt pad_sync_in sync_in Programmable Delay sync_dly mstr pad_ pad_master master sync_out pad_sync_out Externally connect sync_out to sync_in on the master ASI Tied high for Master ASI Tied low for Slave ASIs Dangling output for ASIs Inter-ASI Synchronization sync_out / sync_in When tied high: Master ASI When tied low: Slave ASI 35 of master 1 ASI #1 3 ASI #3 sync_in sync_out sync_in 2 ASI #2 ASI # Reset sync net sync_in sync_in reset_n Programmable Delay 3 of sync_in Load the delay setting from a processor interface sdly sdly1 sdly2 sdly3 sdly sdly5 sdly6 sdly7 Delay Select Reg sdly7 sdly sdly9 sdly1 sdly11 sdly12 sdly13 sdly1 sdly15 delaysel[3:] sdly sdly1 sdly2 sdly3 sdly sdly5 sdly6 sdly7 sdly sdly9 sdly1 sdly11 sdly12 sdly13 sdly1 sdly15 i i1 i2 i3 i i5 i6 i7 i i9 i1 i11 i12 i13 i1 y muxsel sync_dly datain Multiple ASI Synchronization omplete Block Diagram When tied high: Master ASI master When tied low: Slave ASI 36 of addrout1 dataout1 addrout3 ASI #1 ASI #3 dataout3 OS or PLL lock Generator I sync_in sync_out sync_in addrout ASI #2 ASI # dataout Reset sync net sync_in sync_in reset_n addrout2 dataout2

10 alibration ASI#2 - Early Startup 37 of ASI#1 Sample #1 ASI#2 Sample #1 ASI#1 Sample #2 ASI#2 Sample #2 ASI#1 Sample #3 ASI#2 Sample #3 ASI#1 Sample # ASI#2 Sample # ASI#1 Sample #5 ASI#2 Sample #5 ASI#1 Sample #6 ASI#2 Sample #6 ASI#2 started sampling too soon ASI# ASI#2 samples were captured early ASI#2 - (Early) early correct alibration ASI#2 - Synchronized Startup 3 of ASI# ASI#2 samples were captured correctly ASI# correct ASI#1 Sample #1 ASI#2 Sample #1 ASI#1 Sample #2 ASI#2 Sample #2 ASI#1 Sample #3 ASI#2 Sample #3 ASI#1 Sample # ASI#2 Sample # ASI#1 Sample #5 ASI#2 Sample #5 ASI#1 Sample #6 ASI#2 Sample #6 alibration ASI#2 - Late Startup 39 of hoose (half way between 5 & 11) ASI#1 Sample #1 ASI#2 Sample #1 ASI#1 Sample #2 ASI#2 Sample #2 ASI#1 Sample #3 ASI#2 Sample #3 ASI#1 Sample # ASI#2 Sample # ASI#1 Sample #5 ASI#2 Sample #5 ASI#1 Sample #6 ASI#2 Sample #6 ASI#2 started sampling too late ASI# ASI#2 samples were captured late ASI# (Late) correct late onclusions of The "Reset Synchronizer": Offers the advantages of asynchronous resets Offers the advantages of synchronous reset removal Works well with DT techniques "Digital alibration" A viable technique to synchronize reset removal across multiple ASIs

Asynchronous & Synchronous Reset Design Techniques - Part Deux

Asynchronous & Synchronous Reset Design Techniques - Part Deux Clifford E. Cummings Don Mills Steve Golson Sunburst Design, Inc. LCDM Engineering Trilobyte Systems cliffc@sunburst-design.com mills@lcdm-eng.com sgolson@trilobyte.com ABSTRACT This paper will investigate

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005 EE178 Lecture Module 4 Eric Crabill SJSU / Xilinx Fall 2005 Lecture #9 Agenda Considerations for synchronizing signals. Clocks. Resets. Considerations for asynchronous inputs. Methods for crossing clock

More information

EE178 Spring 2018 Lecture Module 5. Eric Crabill

EE178 Spring 2018 Lecture Module 5. Eric Crabill EE178 Spring 2018 Lecture Module 5 Eric Crabill Goals Considerations for synchronizing signals Clocks Resets Considerations for asynchronous inputs Methods for crossing clock domains Clocks The academic

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are the digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs.

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Objective The objective of lab assignments 5 through 9 are to systematically design and implement

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle modified by L.Aamodt 1 Outline 1. 2. 3. 4. 5. 6. 7. 8. Overview on sequential circuits Synchronous circuits Danger of synthesizing asynchronous circuit Inference of

More information

Laboratory 4. Figure 1: Serdes Transceiver

Laboratory 4. Figure 1: Serdes Transceiver Laboratory 4 The purpose of this laboratory exercise is to design a digital Serdes In the first part of the lab, you will design all the required subblocks for the digital Serdes and simulate them In part

More information

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic. 1. CLOCK MUXING: With more and more multi-frequency clocks being used in today's chips, especially in the communications field, it is often necessary to switch the source of a clock line while the chip

More information

Chapter 2 Clocks and Resets

Chapter 2 Clocks and Resets Chapter 2 Clocks and Resets 2.1 Introduction The cost of designing ASICs is increasing every year. In addition to the non-recurring engineering (NRE) and mask costs, development costs are increasing due

More information

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN Assoc. Prof. Dr. Burak Kelleci Spring 2018 OUTLINE Synchronous Logic Circuits Latch Flip-Flop Timing Counters Shift Register Synchronous

More information

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops Timing methodologies cascading flip-flops for proper operation clock skew Basic registers shift registers

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang System IC esign: Timing Issues and FT Hung-Chih Chiang Outline SoC Timing Issues Timing terminologies Synchronous vs. asynchronous design Interfaces and timing closure Clocking issues Reset esign for Testability

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 4.2.1: Learn More Liang Liu liang.liu@eit.lth.se 1 Outline Crossing clock domain Reset, synchronous or asynchronous? 2 Why two DFFs? 3 Crossing clock

More information

ASYNCHRONOUS SEQUENTIAL CIRCUIT CONCEPTS

ASYNCHRONOUS SEQUENTIAL CIRCUIT CONCEPTS ASYNHRONOUS SEQUENTIAL IRUIT ONEPTS Synchronous ircuit Asynchronous ircuit (a) Synchronous to Asynchronous Asynchronous ircuit Asynchronous Signals Synchronous ircuit (b) Asynchronous to Synchronous Synchronous

More information

Review of digital electronics. Storage units Sequential circuits Counters Shifters

Review of digital electronics. Storage units Sequential circuits Counters Shifters Review of digital electronics Storage units Sequential circuits ounters Shifters ounting in Binary A counter can form the same pattern of 0 s and 1 s with logic levels. The first stage in the counter represents

More information

6.S084 Tutorial Problems L05 Sequential Circuits

6.S084 Tutorial Problems L05 Sequential Circuits Preamble: Sequential Logic Timing 6.S084 Tutorial Problems L05 Sequential Circuits In Lecture 5 we saw that for D flip-flops to work correctly, the flip-flop s input should be stable around the rising

More information

Digital Fundamentals: A Systems Approach

Digital Fundamentals: A Systems Approach Digital Fundamentals: A Systems Approach Counters Chapter 8 A System: Digital Clock Digital Clock: Counter Logic Diagram Digital Clock: Hours Counter & Decoders Finite State Machines Moore machine: One

More information

ENGN3213 Digital Systems and Microprocessors Sequential Circuits

ENGN3213 Digital Systems and Microprocessors Sequential Circuits ENGN3213 Digital Systems and Microprocessors Sequential Circuits 1 ENGN3213: Digital Systems and Microprocessors L#9-10 Why have sequential circuits? Sequential systems are time sequential devices - many

More information

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). 1 The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). The value that is stored in a flip-flop when the clock pulse occurs

More information

Sequential Circuits. Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs)

Sequential Circuits. Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs) Sequential Circuits Combinational circuits Output depends only and immediately on the inputs Have no memory (dependence on past values of the inputs) Sequential circuits Combination circuits with memory

More information

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8 CSCB58 - Lab 4 Clocks and Counters Learning Objectives The purpose of this lab is to learn how to create counters and to be able to control when operations occur when the actual clock rate is much faster.

More information

Static Timing Analysis for Nanometer Designs

Static Timing Analysis for Nanometer Designs J. Bhasker Rakesh Chadha Static Timing Analysis for Nanometer Designs A Practical Approach 4y Spri ringer Contents Preface xv CHAPTER 1: Introduction / 1.1 Nanometer Designs 1 1.2 What is Static Timing

More information

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ Synchronizers for Asynchronous Signals Asynchronous signals causes the big issue with clock domains, namely metastability.

More information

Modeling Digital Systems with Verilog

Modeling Digital Systems with Verilog Modeling Digital Systems with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 6-1 Composition of Digital Systems Most digital systems can be partitioned into two types

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic Ranga Rodrigo August 2, 2009 1 Behavioral Modeling Behavioral modeling represents digital circuits at a functional and algorithmic level. It is used mostly to describe sequential

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Two types of state machine as classified by output formation

Two types of state machine as classified by output formation Two types of state machine as classified by output formation Moore: outputs formed by present state only Mealy: outputs formed by present state and input Also classified by state encoding Binary : 000,

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

Chapter 3 Unit Combinational

Chapter 3 Unit Combinational EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Logic and Computer Design Fundamentals Chapter 3 Unit Combinational 5 Registers Logic and Design Counters Part Implementation Technology

More information

DEDICATED TO EMBEDDED SOLUTIONS

DEDICATED TO EMBEDDED SOLUTIONS DEDICATED TO EMBEDDED SOLUTIONS DESIGN SAFE FPGA INTERNAL CLOCK DOMAIN CROSSINGS ESPEN TALLAKSEN DATA RESPONS SCOPE Clock domain crossings (CDC) is probably the worst source for serious FPGA-bugs that

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP General Description The Digital Blocks core is a full function equivalent to the Motorola MC6845 device. The interfaces a microprocessor to a raster-scan CRT display. The

More information

Page 1 of 6 Follow these guidelines to design testable ASICs, boards, and systems. (includes related article on automatic testpattern generation basics) (Tutorial) From: EDN Date: August 19, 1993 Author:

More information

Registers and Counters

Registers and Counters Registers and Counters A register is a group of flip-flops which share a common clock An n-bit register consists of a group of n flip-flops capable of storing n bits of binary information May have combinational

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

Last time, we saw how latches can be used as memory in a circuit

Last time, we saw how latches can be used as memory in a circuit Flip-Flops Last time, we saw how latches can be used as memory in a circuit Latches introduce new problems: We need to know when to enable a latch We also need to quickly disable a latch In other words,

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Sequential Logic. Sequential Circuits. ! Timing Methodologies " Cascading flip-flops for proper operation " Clock skew

Sequential Logic. Sequential Circuits. ! Timing Methodologies  Cascading flip-flops for proper operation  Clock skew equential Logic! equential Circuits " imple circuits with feedback " Latches " Edge-triggered flip-flops! Timing Methodologies " Cascading flip-flops for proper operation " Clock skew! Basic egisters "

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang Wireless Information Transmission System Lab. System IC esign: Timing Issues and FT Hung-Chih Chiang Institute of Communications Engineering National Sun Yat-sen University SoC Timing Issues Outline Timing

More information

ECE 263 Digital Systems, Fall 2015

ECE 263 Digital Systems, Fall 2015 ECE 263 Digital Systems, Fall 2015 REVIEW: FINALS MEMORY ROM, PROM, EPROM, EEPROM, FLASH RAM, DRAM, SRAM Design of a memory cell 1. Draw circuits and write 2 differences and 2 similarities between DRAM

More information

Chapter 4: One-Shots, Counters, and Clocks

Chapter 4: One-Shots, Counters, and Clocks Chapter 4: One-Shots, Counters, and Clocks I. The Monostable Multivibrator (One-Shot) The timing pulse is one of the most common elements of laboratory electronics. Pulses can control logical sequences

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20 Advanced Devices Using a combination of gates and flip-flops, we can construct more sophisticated logical devices. These devices, while more complex, are still considered fundamental to basic logic design.

More information

Software Engineering 2DA4. Slides 9: Asynchronous Sequential Circuits

Software Engineering 2DA4. Slides 9: Asynchronous Sequential Circuits Software Engineering 2DA4 Slides 9: Asynchronous Sequential Circuits Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of

More information

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

CSE115: Digital Design Lecture 23: Latches & Flip-Flops Faculty of Engineering CSE115: Digital Design Lecture 23: Latches & Flip-Flops Sections 7.1-7.2 Suggested Reading A Generic Digital Processor Building Blocks for Digital Architectures INPUT - OUTPUT Interconnect:

More information

Learning Outcomes. Unit 13. Sequential Logic BISTABLES, LATCHES, AND FLIP- FLOPS. I understand the difference between levelsensitive

Learning Outcomes. Unit 13. Sequential Logic BISTABLES, LATCHES, AND FLIP- FLOPS. I understand the difference between levelsensitive 1.1 1. Learning Outcomes Unit 1 I understand the difference between levelsensitive and edge-sensitive I understand how to create an edge-triggered FF from latches Sequential Logic onstructs 1. 1.4 Sequential

More information

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic.

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic. Chapter 6. sequential logic design This is the beginning of the second part of this course, sequential logic. 1 equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops

More information

ECE 3401 Lecture 11. Sequential Circuits

ECE 3401 Lecture 11. Sequential Circuits EE 3401 Lecture 11 Sequential ircuits Overview of Sequential ircuits Storage Elements Sequential circuits Storage elements: Latches & Flip-flops Registers and counters ircuit and System Timing Sequential

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Matt Smith University of Michigan Serial buses, digital design Material taken from Brehob, Dutta, Le, Ramadas, Tikhonov & Mahal 1 Agenda Serial Buses Introduction

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1 Debugging of Verilog Hardware Designs on Altera s DE-Series Boards For Quartus Prime 15.1 1 Introduction This tutorial presents some basic debugging concepts that can be helpful in creating Verilog designs

More information

WWW.STUDENTSFOCUS.COM + Class Subject Code Subject Prepared By Lesson Plan for Time: Lesson. No 1.CONTENT LIST: Introduction to Unit III 2. SKILLS ADDRESSED: Listening I year, 02 sem CS6201 Digital Principles

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT 4 SYNCHRONOUS SEQUENTIAL LOGIC Sequential circuits

More information

Sequential Circuits. Sequential Logic. Circuits with Feedback. Simplest Circuits with Feedback. Memory with Cross-coupled Gates.

Sequential Circuits. Sequential Logic. Circuits with Feedback. Simplest Circuits with Feedback. Memory with Cross-coupled Gates. equential Logic equential Circuits equential Circuits imple circuits with feedback Latches Edge-triggered flip-flops Timing Methodologies Cascading flip-flops for proper operation Clock skew Basic egisters

More information

6. Sequential Logic Flip-Flops

6. Sequential Logic Flip-Flops ection 6. equential Logic Flip-Flops Page of 5 6. equential Logic Flip-Flops ombinatorial components: their output values are computed entirely from their present input values. equential components: their

More information

Chapter 5. Introduction

Chapter 5. Introduction Chapter 5 Synchronous Sequential Logic Chapter 5 Introduction Circuits require memory to store intermediate data Sequential circuits use a periodic signal to determine when to store values. A clock signal

More information

CARLETON UNIVERSITY. The Tug-of-War Game. Player 1 RESET

CARLETON UNIVERSITY. The Tug-of-War Game. Player 1 RESET ARLETON UNIVERSITY Deparment of Electronics ELE 3500 Digital Electronics October 17, 2006. The Tug-of-War Game Rev 11. 1.0 The Game : Overview The players of this game see a row of 7 LEDs represented by

More information

T1 Deframer. LogiCORE Facts. Features. Applications. General Description. Core Specifics

T1 Deframer. LogiCORE Facts. Features. Applications. General Description. Core Specifics November 10, 2000 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 E-mail: support@xilinx.com URL: www.xilinx.com/ipcenter Features Supports T1-D4 and T1-ESF

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Sequential Circuits: Latches & Flip-Flops

Sequential Circuits: Latches & Flip-Flops Sequential Circuits: Latches & Flip-Flops Overview Storage Elements Latches SR, JK, D, and T Characteristic Tables, Characteristic Equations, Eecution Tables, and State Diagrams Standard Symbols Flip-Flops

More information

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay)  CSC S.J. Park. Announcement Seung-Jong Park (Jay) http://www.csc.lsu.edu/~sjpark Computer Architecture (CSC-3501) Lecture 7 (07 Feb 2008) 1 Announcement 2 1 Combinational vs. Sequential Logic Combinational Logic Memoryless Outputs

More information

SEQUENTIAL CIRCUITS SEQUENTIAL CIRCUITS

SEQUENTIAL CIRCUITS SEQUENTIAL CIRCUITS SEUENTIAL CIRCUITS SEUENTIAL CIRCUITS Circuits With Storage ig Cir p. 177 Revised; January 13, 2005 Slide 89 SEUENTIAL CIRCUITS Sequential Circuits These are the Interesting Circuits They can remember.

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

Registers, Register Transfers and Counters Dr. Fethullah Karabiber

Registers, Register Transfers and Counters Dr. Fethullah Karabiber 36 OMPUTER HARWARE Registers, Register Transfers and ounters r. Fethullah Karabiber Overview 2 Registers, Microoperations and Implementations Registers and load enable Register transfer operations Microoperations

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS In the same way that logic gates are the building blocks of combinatorial circuits, latches

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

VARIABLE FREQUENCY CLOCKING HARDWARE

VARIABLE FREQUENCY CLOCKING HARDWARE VARIABLE FREQUENCY CLOCKING HARDWARE Variable-Frequency Clocking Hardware Many complex digital systems have components clocked at different frequencies Reason 1: to reduce power dissipation The active

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers and Counters CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

! Two inverters form a static memory cell " Will hold value as long as it has power applied

! Two inverters form a static memory cell  Will hold value as long as it has power applied equential Logic! equential Circuits " imple circuits with feedback " Latches " Edge-triggered flip-flops! Timing Methodologies " Cascading flip-flops for proper operation " Clock skew! Basic egisters "

More information

COMP2611: Computer Organization. Introduction to Digital Logic

COMP2611: Computer Organization. Introduction to Digital Logic 1 COMP2611: Computer Organization Sequential Logic Time 2 Till now, we have essentially ignored the issue of time. We assume digital circuits: Perform their computations instantaneously Stateless: once

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

Unit-5 Sequential Circuits - 1

Unit-5 Sequential Circuits - 1 Unit-5 Sequential Circuits - 1 1. With the help of block diagram, explain the working of a JK Master-Slave flip flop. 2. Differentiate between combinational circuit and sequential circuit. 3. Explain Schmitt

More information

ECE337 Lab 4 Introduction to State Machines in VHDL

ECE337 Lab 4 Introduction to State Machines in VHDL ECE337 Lab Introduction to State Machines in VHDL In this lab you will: Design, code, and test the functionality of the source version of a Moore model state machine of a sliding window average filter.

More information

ALGORITHMS IN HW EECS150 ALGORITHMS IN HW. COMBINATIONAL vs. SEQUENTIAL. Sequential Circuits ALGORITHMS IN HW

ALGORITHMS IN HW EECS150 ALGORITHMS IN HW. COMBINATIONAL vs. SEQUENTIAL. Sequential Circuits ALGORITHMS IN HW LGOITHM HW EEC150 ection 2 Introduction to equential Logic Fall 2001 pproach #2: Combinational divide & conquer a[0] a[1] a[1022] a[1023] MX MX MX 512 + 256 + K+ 1 = 1023 blocks Each MX block has: 64 s;

More information

Flip-flop and Registers

Flip-flop and Registers ECE 322 Digital Design with VHDL Flip-flop and Registers Lecture Textbook References n Sequential Logic Review Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 2 nd or

More information

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity. Prototyping an ASIC with FPGAs By Rafey Mahmud, FAE at Synplicity. With increased capacity of FPGAs and readily available off-the-shelf prototyping boards sporting multiple FPGAs, it has become feasible

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 12: Divider Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Divider Basics Dynamic CMOS

More information

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of 1 The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of the AND gate, you get the NAND gate etc. 2 One of the

More information

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers EEE 304 Experiment No. 07 Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers Important: Submit your Prelab at the beginning of the lab. Prelab 1: Construct a S-R Latch and

More information

Digital Fundamentals: A Systems Approach

Digital Fundamentals: A Systems Approach Digital Fundamentals: A Systems Approach Latches, Flip-Flops, and Timers Chapter 6 Traffic Signal Control Traffic Signal Control: State Diagram Traffic Signal Control: Block Diagram Traffic Signal Control:

More information

EE 109 Homework 6 State Machine Design Name: Score:

EE 109 Homework 6 State Machine Design Name: Score: EE 9 Homework 6 State Machine esign Name: Score: ue: See Blackboard Blackboard ONLY Submission. While the Blackboard submission may not require you to go through all the design steps (such as drawing out

More information

Sequential Logic Basics

Sequential Logic Basics Sequential Logic Basics Unlike Combinational Logic circuits that change state depending upon the actual signals being applied to their inputs at that time, Sequential Logic circuits have some form of inherent

More information

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers Registers Registers are a very important digital building block. A data register is used to store binary information appearing at the output of an encoding matrix.shift registers are a type of sequential

More information

EECS 3201: Digital Logic Design Lecture 9. Ihab Amer, PhD, SMIEEE, P.Eng.

EECS 3201: Digital Logic Design Lecture 9. Ihab Amer, PhD, SMIEEE, P.Eng. EECS 3201: Digital Logic Design Lecture 9 Ihab Amer, PhD, SMIEEE, P.Eng. Progress so far 2 Digital Logic Classification Digital Logic Combinational o/p s depend on i/p s only E.g. Logic Gates Sequential

More information

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview Digilent Nexys-3 Cellular RAM Controller Reference Design Overview General Overview This document describes a reference design of the Cellular RAM (or PSRAM Pseudo Static RAM) controller for the Digilent

More information

Outline. EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits. Cross-coupled NOR gates. Asynchronous State Transition Diagram

Outline. EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits. Cross-coupled NOR gates. Asynchronous State Transition Diagram EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits Nov 26, 2002 John Wawrzynek Outline SR Latches and other storage elements Synchronizers Figures from Digital Design, John F. Wakerly

More information

ASYNCHRONOUS COUNTER CIRCUITS

ASYNCHRONOUS COUNTER CIRCUITS ASYNCHRONOUS COUNTER CIRCUITS Asynchronous counters do not have a common clock that controls all the Hipflop stages. The control clock is input into the first stage, or the LSB stage of the counter. The

More information

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active. Flip-Flops Objectives The objectives of this lesson are to study: 1. Latches versus Flip-Flops 2. Master-Slave Flip-Flops 3. Timing Analysis of Master-Slave Flip-Flops 4. Different Types of Master-Slave

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

Rangkaian Sekuensial. Flip-flop

Rangkaian Sekuensial. Flip-flop Rangkaian Sekuensial Rangkaian Sekuensial Flip-flop Combinational versus Sequential Functions Logic functions are categorized as being either combinational (sometimes referred to as combinatorial) or sequential.

More information

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS * SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEUENTIAL CIRCUITS * Wu Xunwei (Department of Electronic Engineering Hangzhou University Hangzhou 328) ing Wu Massoud Pedram (Department of Electrical

More information

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit:

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit: Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: This lab gives you more exercise in schematic entry, state machine design using the one-hot state method, further understanding

More information