Advanced MEMS Packaging

Size: px
Start display at page:

Download "Advanced MEMS Packaging"

Transcription

1 Advanced MEMS Packaging John H. Lau Chengkuo Lee C. S. Premachandran Yu Aibin Ш New York Chicago San Francisco Lisbon London Madrid Mexico City Milan New Delhi San Juan Seoul Singapore Sydney Toronto

2 Contents Foreword Preface Acknowledgments xv xvii xxi 1 Introduction to MEMS Introduction Commercial Applications of MEMS MEMS Markets Top 30 MEMS Suppliers Introduction to MEMS Packaging MEMS Packaging Patents since U.S. MEMS Packaging Patents Japanese MEMS Packaging Patents Worldwide MEMS Packaging Patents 27 References 43 2 Advanced MEMS Packaging Introduction Advanced 1С Packaging Moore's Law versus More Than Moore (MTM) D 1С Integration with WLP Low-Cost Solder Microbumps for 3D 1С SiP Thermal Management of 3D 1С SiP with TSV Advanced MEMS Packaging D MEMS WLP: Designs and Materials D MEMS WLP: Processes 72 References 76 3 Enabling Technologies for Advanced MEMS Packaging Introduction TSVs for MEMS Packaging Via Formation Dielectric Isolation Layer (SiO z ) Deposition 86 vii

3 viü Contents Barrier/Adhesion and Seed Metal Layer Deposition Via Filling Cu Polishing by Chemical/ Mechanical Polish (CMP) Fabrication of an ASIC Wafer with TSVs Fabrication of Cap Wafer with TSVs and Cavity Piezoresistive Stress Sensors for MEMS Packaging Design and Fabrication of Piezoresistive Stress Sensors Calibration of Stress Sensors Stresses in Wafers after Mounting on a Dicing Tape Stresses in Wafers after Thinning (Back-Grinding) Wafer Thinning and Thin-Wafer Handling M Wafer Support System EVG's Temporary Bonding and Debonding System A Simple Support-Wafer Method for Thin-Wafer Handling Low-Temperature Bonding for MEMS Packaging Ill How Does Low-Temperature Bonding with Solders Work? Low-Temperature C2C Bonding Low-Temperature C2W Bonding Low-Temperature W2W Bonding MEMS Wafer Dicing Fundamentals of SD Technology Dicing of SOI Wafers Dicing of Silicon-on-Silicon Wafers Dicing of Silicon-on-Glass Wafers RoHS-Compliant MEMS Packaging EURoHS What Is the Definition of X-Free (e.g., Pb-Free)? What Is a Homogeneous Material? What Is the TAC? How Is a Law Published in the EU RoHS Directive? 135

4 Contents jx EU RoHS Exemptions Current Status of RoHS Compliance in the Electronics Industry Lead-Free Solder-Joint Reliability of MEMS Packages 138 References 149 Advanced MEMS Wafer-Level Packaging Introduction Micromachining, Wafer-Bonding Technologies, and Interconnects Thin-Film Technologies Bulk Micromachining Technologies Conventional Wafer-Bonding Technologies for Packaging Plasma-Assisted Wafer-Bonding Technologies Electrical Interconnects Solder-Based Intermediate-Layer Bonding Wafer-Level Encapsulation High-Temperature Encapsulation Process Low-Temperature Encapsulation Process Wafer-Level Chip Capping and MCM Technologies Wafer-Level MEMS Packaging Based on Low-Temperature Solders: Case Study Case Study: In/Ag System of Noneutectic Composition Case Study: Eutectic InSn Solder for Cu-Based Metallization Summary and Future Outlook 202 References 203 Optical MEMS Packaging: Communications Introduction Actuation Mechanisms and Integrated Micromachining Processes Electrostatic Actuation Thermal Actuation Magnetic Actuation 219

5 X Contents Piezoelectric Actuation Integrated Micromachining Processes Optical Switches Small-Scale Optical Switches Large-Scale Optical Switches Variable Optical Attenuators Early Development Work Surface-Micromachined VOAs DRIE-Derived Planar VOAs Using Electrostatic Actuators DRIE-Derived Planar VOAs Using Electrothermal (Thermal) Actuators D VOAs VOAs Using Various Mechanisms Packaging, Testing, and Reliability Issues Manufacturability and Self-Assembly Case Study: VOAs Case Study: Optical Switches Summary and Future Outlook 285 References Optical MEMS Packaging: Bubble Switch Introduction D Packaging Boundary-Value Problem Geometry Materials Boundary Conditions Nonlinear Analyses of the 3D Photonic Switch Creep Hysteresis Loops Deflections Shear-Stress Time-History Shear-Creep-Strain Time-History Creep-Strain Energy-Density Range Isothermal Fatigue Tests and Results Sample Preparation Test Setup and Procedures Test Results Thermal Fatigue Life Prediction of the Sealing Ring 314

6 Contents xi 6.7 Appendix A: Package Deflection by Twyman-Green Interferometry Method Sample Preparation Test Setup and Procedure Temperature Conditions Measurement Results Appendix B: Package Deflection by Finite-Element Method Appendix C: Finite-Element Modeling of the Bolt Description of the Bolted Model Responses of the Bolted Photonic Switch 322 References 325 Optical MEMS: Microbolometer Packaging Introduction Bolometer Chip Thermal Optimization Final Temperature Stability Testing Structural Optimization of the Package Vacuum Packaging of Bolometer Ge Window Getter Attachment and Activation Outgassing Study in a Vacuum Package Testing Setup for Bolometer Package Testing Image Testing 350 References 352 Bio-MEMS Packaging Introduction Bio-MEMS Chip Microfluidic Components Microfluidic Cartridge Biocompatible Polymeric Materials Microfluidic Packaging Polymer Microfabrication Techniques Replication Technologies Overview of Existing DNA and RNA Extractor Biocartridges Fabrication of PDMS Layers Assembly of PDMS Microfluidic Packages

7 XÜ Contents Microfluidic Package without Reservoirs Development of Reservoir and Valve Self-Contained Microfluidic Cartridge Microfluidic Package with Self-Contained Reservoirs Pin-Valve Design Fluid Flow-Control Mechanism Fabrication Substrate Fabrication Material Selection for the Reservoir Membrane Permeability of Material Thermocompression Bonding Bonding of PMMA to PMMA for the Channel Layer Polypropylene to PMMA for Reservoir and Channel Layer Tensile Test Microfluidic Package Testing Fluid Testing Biologic Testing on a Biosample Sample Preparation and Setup Pretreatment of the Cartridge PCR Amplification 394 References Biosensor Packaging Introduction Review of Optical Coherence Tomography (OCT) Biosensor Packaging Micromirror Single-Mode Optical Fiber and GRIN Lens Upper Substrate Lower Substrate The Package Configuration of the Probe Optical Properties and Theories Evaluations of Parameters Optical Simulation Optical Model of the Probe Effect of Mirror Curvature on Coupling Efficiency 415

8 Contents Effect of Lateral Tilt of a Flat Micromirror on a Curved Sample Effect of Vertical Tilt of a Flat Micromirror on a Curved Sample Effect of Vertical Tilt of a Flat Micromirror on a Flat Sample Assembly of the Optical Probe Fabrication of SiOB Probe Assembly Probe Housing Testing of the Probe Optical Alignment Axial Scanning Test Result Probe Imaging Optical Efficiency Testing 431 References 433 Accelerometer Packaging Introduction Wafer-Level Package Requirements Electrical Modeling Package Structure Extraction Methodology of the Interconnection Characteristics Wafer-Level Packaging Process Method 1: TSV with Sacrificial Wafer Method 2: TSV without Sacrificial Wafer Method 3: TSV with MEMS Wafer Wafer Separation Process Process Integration Sacrificial Wafer Removal Wafer-Level Vacuum Sealing Vacuum Measurement Using a MEMS Motion Analyzer Reliability Testing: Vacuum Maintenance Wafer-Level 3D Package for an Accelerometer 471 References 473 Radiofrequency MEMS Switches Introduction Design of RF MEMS Switches Design of Capacitive Switches 475

9 ents Design of Metal-Contact Switches Mechanical Design of RF MEMS Switches Fabrication of RF MEMS Switches Surface Micromachining of RF MEMS Switches Bulk Micromachining of RF MEMS Switches Characterization of RF MEMS Switches RF Performance Mechanical Performance Reliability of RF MEMS Switches Reliability of Capacitive Switches Reliability of Metal-Contact Switches Summary 492 References 493 RF MEMS Tunable Capacitors and Tunable Band-Pass Filters Introduction RF MEMS Tunable Capacitors Analog Tuning of RF MEMS Capacitors Digital Tuning of RF MEMS Capacitors RF MEMS Tunable Band-Pass Filters Analog Tuning of a MEMS Band-Pass Filter Digital Tuning of an RF MEMS Filter Summary 512 References 513 Advanced Packaging of RF MEMS Devices Introduction Zero-Level Packaging Chip Capping Thin-Film Capping One-Level Packaging ReHability of Packaged RF MEMS Devices Summary 528 References 528 Index 531

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering Advanced WLP Platform for High-Performance MEMS Presented by Dean Spicer, Director of Engineering 1 May 11 th, 2016 1 Outline 1. Application Drivers for High Performance MEMS Sensors 2. Approaches to Achieving

More information

FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect. MEMS Packaging

FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect. MEMS Packaging FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect MEMS Packaging Lecture topics Introduction to MEMS packaging concerns: Why MEMS packaging are more challenging than IC packaging

More information

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining Pressure sensor Surface Micromachining Deposit sacrificial layer Si PSG By HF Poly by XeF2 Pattern anchors Deposit/pattern structural layer Etch sacrificial layer Surface micromachining Structure sacrificial

More information

IC Mask Design. Christopher Saint Judy Saint

IC Mask Design. Christopher Saint Judy Saint IC Mask Design Essential Layout Techniques Christopher Saint Judy Saint McGraw-Hill New York Chicago San Francisco Lisbon London Madrid Mexico City Milan New Delhi San Juan Seoul Singapore Sydney Toronto

More information

Deep Silicon Etch Technology for Advanced MEMS Applications

Deep Silicon Etch Technology for Advanced MEMS Applications Deep Silicon Etch Technology for Advanced MEMS Applications Shenjian Liu, Ph.D. Managing Director, AMEC AMEC Company Profile and Product Line-up AMEC HQ, R&D and MF Facility in Shanghai AMEC Taiwan AMEC

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr January 2012 Written by: Maher SAHMIMI DISCLAIMER :

More information

EE C247B ME C218 Introduction to MEMS Design Spring 2017

EE C247B ME C218 Introduction to MEMS Design Spring 2017 EE C247B ME C218 Introduction to MEMS Design Spring 2017 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture Module

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr March 2011 - Version 1 Written by: Romain FRAUX DISCLAIMER

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

InvenSense Fabless Model for the MEMS Industry

InvenSense Fabless Model for the MEMS Industry InvenSense Fabless Model for the MEMS Industry HKSTP Symposium Aug 2016 InvenSense, Inc. Proprietary Outline MEMS Market InvenSense CMOS-MEMS Integration InvenSense Shuttle Program and Process MEMS MARKET

More information

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website : 21 rue La Noue Bras de Fer - 44200 Nantes - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr 2012 September - Version 1 Written by: Maher Sahmimi DISCLAIMER

More information

Advanced Sensor Technologies

Advanced Sensor Technologies Advanced Sensor Technologies Jörg Amelung Fraunhofer Institute for Photonics Microsystems Name of presenter date Sensors as core element for IoT Next phase of market grow New/Advanced Requirements based

More information

OLED Lighting in Automotive Applications State of the Art and Future Demands. OLEDs World Summit 2017, San Francisco, Dr. Werner Thomas, AUDI AG

OLED Lighting in Automotive Applications State of the Art and Future Demands. OLEDs World Summit 2017, San Francisco, Dr. Werner Thomas, AUDI AG OLED Lighting in Automotive Applications State of the Art and Future Demands OLEDs World Summit 2017, San Francisco, Dr. Werner Thomas, AUDI AG 2 Agenda 1. Overview 1 st automotive series applications

More information

In the September/October issue of Small Times

In the September/October issue of Small Times Thinking outside the chip: MEMS-based systems solutions by Roger H. Grace, Roger Grace Associates In the September/October issue of Small Times (p.32) I introduced a MEMS Commercialization Report Card

More information

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Clara Dimas, Julie Perreault, Steven Cornelissen, Harold Dyson, Peter Krulevitch, Paul Bierden, Thomas Bifano, Boston Micromachines

More information

STMicroelectronics L2G2IS 2-Axis Gyroscope for OIS

STMicroelectronics L2G2IS 2-Axis Gyroscope for OIS STMicroelectronics L2G2IS 2-Axis Gyroscope for OIS MEMS report by Romain Fraux October 2016 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr www.systemplus.fr 2016

More information

Multilevel Beam SOI-MEMS for Optical Applications

Multilevel Beam SOI-MEMS for Optical Applications pp. 281-285 Multilevel Beam SOI-MEMS for Optical Applications Veljko Milanović Adriatic Research Institute 2131 University Ave., Suite 322, Berkeley, CA 94704 veljko@adriaticresearch.org Abstract A microfabrication

More information

Broadcom AFEM-8072 Mid&High Band Front End module in iphone 8/X

Broadcom AFEM-8072 Mid&High Band Front End module in iphone 8/X Broadcom AFEM-8072 Mid&High Band Front End module in iphone 8/X RF report by Stéphane ELISABETH February 2018 version 1 2018 by System Plus Consulting Broadcom AFEM-8072 1 Table of Contents 4 o Executive

More information

CMP and Current Trends Related to Advanced Packaging

CMP and Current Trends Related to Advanced Packaging CMP and Current Trends Related to Advanced Packaging Robert L. Rhoades, Ph.D. NCCAVS TFUG-CMPUG Joint Meeting June 7, 2017 Semiconductor Equipment Spare Parts and Service CMP Foundry Foundry Click to edit

More information

Lecture 20 Optical MEMS (2)

Lecture 20 Optical MEMS (2) EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 20 Optical MEMS (2) Agenda: MOEMS Introduction Micromirrors EEL6935 Advanced MEMS 2005 H. Xie 3/30/2005 1 Optical MEMS Topics Introduction

More information

Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution

Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution S. Angles STMicroelectronics R. Vallauri Technoprobe Background Overview ST Qualification of TPEG MEMS T3 probing technology

More information

I. Introduction. II. Problem

I. Introduction. II. Problem Wiring Deformable Mirrors for Curvature Adaptive Optics Systems Joshua Shiode Boston University, IfA REU 2005 Sarah Cook University of Hawaii, IfA REU 2005 Mentor: Christ Ftaclas Institute for Astronomy,

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

Lecture 26 Optical Coherence Tomography

Lecture 26 Optical Coherence Tomography EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 26 Optical Coherence Tomography Agenda: Reference Optical Delay Scanning MEMS-Based OCT References: Bouma and Tearney, Handbook of

More information

Digital Light Processing

Digital Light Processing A Seminar report On Digital Light Processing Submitted in partial fulfillment of the requirement for the award of degree of Bachelor of Technology in Computer Science SUBMITTED TO: www.studymafia.org SUBMITTED

More information

INTRODUCTION TO MICROELECTROMECHANICAL SYSTEMS (MEMS) 520/

INTRODUCTION TO MICROELECTROMECHANICAL SYSTEMS (MEMS) 520/ INTRODUCTION TO MICROELECTROMECHANICAL SYSTEMS (MEMS) 520/530.487 Instructors: Andreou Hemker Sharpe Today: What are MEMS - TI digital mirror example The MEMS industry - history and size The state of MEMS

More information

Challenges for OLED Deposition by Vacuum Thermal Evaporation. D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C.

Challenges for OLED Deposition by Vacuum Thermal Evaporation. D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C. Challenges for OLED Deposition by Vacuum Thermal Evaporation D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C. Roth June 7, 2011 Outline Introduction to Veeco Methods of OLED Deposition Cost

More information

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. Richard Barnett Dave Thomas Oliver Ansell ABSTRACT Plasma dicing has rapidly gained traction as a viable

More information

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1 Presentation Outline The Internet of Things (IoT) as a market

More information

Mechanical aspects, FEA validation and geometry optimization

Mechanical aspects, FEA validation and geometry optimization RF Fingers for the new ESRF-EBS EBS storage ring The ESRF-EBS storage ring features new vacuum chamber profiles with reduced aperture. RF fingers are a key component to ensure good vacuum conditions and

More information

MEMS PACKAGING & ASSEMBLY ISSUES

MEMS PACKAGING & ASSEMBLY ISSUES MEMS PACKAGING & ASSEMBLY ISSUES By Dr. Ken Gilleo ET-Trend Rhode Island Gilleo@ieee.org MEMS, Micro-Electro-Mechanical Systems, may just be the ultimate enabling technology for the micro-level integration

More information

Scaling up of the Iris AO segmented DM technology for atmospheric correction

Scaling up of the Iris AO segmented DM technology for atmospheric correction Scaling up of the Iris AO segmented DM technology for atmospheric correction Michael A. Helmbrecht, Ph.D., Min He, Carl Kempf, Ph.D., Patrick Rhodes Iris AO, Inc., 2680 Bancroft Way, Berkeley, CA 94704

More information

POLYCRYSTALLINE. John H. Comtois. Sandia National Laboratories Dept /MS 1080 P. 0. Box 5800 Kirtland AFB, NM ABSTRACT INTRODUCTION

POLYCRYSTALLINE. John H. Comtois. Sandia National Laboratories Dept /MS 1080 P. 0. Box 5800 Kirtland AFB, NM ABSTRACT INTRODUCTION I DESIGNAND CHARACTERIZATION OF NEXT-GENERATION 0CT I 5 1997 MICROMIRRORS FABRICATED IN A SURFACE-MICROMACHINED POLYCRYSTALLINE M. Adrian Michalicek USAF Phillips Laboratory Space Technologies Directorate

More information

Introduction to. Micragem: A Silicon-on-Insulator Based Micromachining Process. Report ICI-138 V3.0 (Beta version)

Introduction to. Micragem: A Silicon-on-Insulator Based Micromachining Process. Report ICI-138 V3.0 (Beta version) Introduction to Micragem: A Silicon-on-Insulator Based Micromachining Process Report ICI-138 V3.0 (Beta version) December 14, 2004 Copyright 2004 Canadian Microelectronics Corporation This document was

More information

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Single Die Fab Yield will drive Cost Equation. Yield of the device to be stacked 100% 90% 80% Yield of

More information

Mini-Circuits Engineering Department P. O. Box , Brooklyn, NY ; (718) , FAX: (718)

Mini-Circuits Engineering Department P. O. Box , Brooklyn, NY ; (718) , FAX: (718) WiMAX MIXER PROVIDES HIGH IP3 Upconverter Mixer Makes Most of LTCC for WiMAX Applications This high-performance mixer leverages LTCC, semiconductor technology, and patented circuit techniques to achieve

More information

Vesper VM1000 Piezoelectric MEMS Microphone

Vesper VM1000 Piezoelectric MEMS Microphone Vesper VM1000 Piezoelectric MEMS Microphone MEMS report by Sylvain Hallereau February 2017 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr www.systemplus.fr 2017 System

More information

Lecture 18 Design For Test (DFT)

Lecture 18 Design For Test (DFT) Lecture 18 Design For Test (DFT) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ASIC Test Two Stages Wafer test, one die at a time, using probe card production

More information

Solid State Devices 4B6

Solid State Devices 4B6 Solid State Devices 4B6 Lecture 13 Projection and 3D displays: LCD, DLP and LCOS Daping Chu Lent 2016 Development of flat panel displays (FPDs) (LCD) in early days 1 A 105 inch TFT-LCD 4k2k curved panel

More information

MEMS Technologies for Optical Applications

MEMS Technologies for Optical Applications MEMS Technologies for Optical Applications Dr. Veljko Milanović Adriatic Research Institute 2131 University Ave Suite 322 Berkeley, CA 94704-1079 http://www.adriaticresearch.org Outline Motivations and

More information

10 GHz to 26 GHz, GaAs, MMIC, Double Balanced Mixer HMC260ALC3B

10 GHz to 26 GHz, GaAs, MMIC, Double Balanced Mixer HMC260ALC3B Data Sheet FEATURES Passive; no dc bias required Conversion loss 8 db typical for 1 GHz to 18 GHz 9 db typical for 18 GHz to 26 GHz LO to RF isolation: 4 db Input IP3: 19 dbm typical for 18 GHz to 26 GHz

More information

Screen investigations for low energetic electron beams at PITZ

Screen investigations for low energetic electron beams at PITZ 1 Screen investigations for low energetic electron beams at PITZ S. Rimjaem, J. Bähr, H.J. Grabosch, M. Groß Contents Review of PITZ setup Screens and beam profile monitors at PITZ Test results Summary

More information

AIXTRON in EXCILIGHT project

AIXTRON in EXCILIGHT project AIXTRON SE AIXTRON in EXCILIGHT project Gintautas Simkus ABOUT AIXTRON 2 Who we are Headquarter based in Herzogenrath, Germany Worldwide presence with 14 sales/representatives offices and production facilities

More information

Technology Overview LTCC

Technology Overview LTCC Sheet Code RFi0604 Technology Overview LTCC Low Temperature Co-fired Ceramic (LTCC) is a multilayer ceramic substrate technology that allows the realisation of multiple embedded passive components (Rs,

More information

Title: STMicroelectronics NIR Camera Sensor Pages: 97 Date: December 2017 Format: PDF & Excel file Price: Full report: EUR 3,490

Title: STMicroelectronics NIR Camera Sensor Pages: 97 Date: December 2017 Format: PDF & Excel file Price: Full report: EUR 3,490 STMicroelectronics Near Infrared Camera Sensor in the Apple iphone X The first NIR camera sensor with multiple innovations based on imager-silicon-oninsulator substrate from SOITEC, supplied and produced

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

BTC and SMT Rework Challenges

BTC and SMT Rework Challenges BTC and SMT Rework Challenges Joerg Nolte Ersa GmbH Wertheim, Germany Abstract Rising customer demands in the field of PCB repair are a daily occurrence as the rapid electronic industry follows new trends

More information

1.5 GHz to 4.5 GHz, GaAs, MMIC, Double Balanced Mixer HMC213BMS8E

1.5 GHz to 4.5 GHz, GaAs, MMIC, Double Balanced Mixer HMC213BMS8E FEATURES Passive: no dc bias required Conversion loss: 1 db typical Input IP3: 21 dbm typical RoHS compliant, ultraminiature package: 8-lead MSOP APPLICATIONS Base stations Personal Computer Memory Card

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Karl Heinz Feller. Arbeitsgruppe Instrumentelle Analytik FB Medizintechnik und Biotechnologie Ernst-Abbe-Fachhochschule Jena.

Karl Heinz Feller. Arbeitsgruppe Instrumentelle Analytik FB Medizintechnik und Biotechnologie Ernst-Abbe-Fachhochschule Jena. CFD Simulationen von mikrofluidischen Bauelementen zur Optimierung von chemischen Reaktionen Karl Heinz Feller Arbeitsgruppe Instrumentelle Analytik FB Medizintechnik und Biotechnologie Ernst-Abbe-Fachhochschule

More information

2016, Amkor Technology, Inc.

2016, Amkor Technology, Inc. 1 Standardization of Packaging for the Internet of Things Adrian Arcedera l VP of MEMS and Sensor Products 2 About Amkor Technology Amkor Technology, Inc. is one of the world's largest and most accomplished

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS RELIABILITY REPORT FOR PLASTIC ENCAPSULATED DEVICES May 4, 2009 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Approved by Ken Wendel Quality Assurance Director, Reliability Engineering

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

High Power ARNS/IFF Limiter Module: Ultra Low Flat Leakage & Fast Recovery Time

High Power ARNS/IFF Limiter Module: Ultra Low Flat Leakage & Fast Recovery Time RELEASED RFLM-961122MC-299 High Power ARNS/IFF Limiter Module: Ultra Low Flat Leakage & Fast Recovery Time Features: SMT Limiter Module: 8mm x 5mm x 2.5mm Frequency Range: 960 MHz to 1,215 MHz High Average

More information

GaAs, MMIC Fundamental Mixer, 2.5 GHz to 7.0 GHz HMC557A

GaAs, MMIC Fundamental Mixer, 2.5 GHz to 7.0 GHz HMC557A FEATURES Conversion loss: db LO to RF isolation: db LO to IF isolation: 3 db Input third-order intercept (IP3): 1 dbm Input second-order intercept (IP2): dbm LO port return loss: dbm RF port return loss:

More information

6 GHz to 26 GHz, GaAs MMIC Fundamental Mixer HMC773A

6 GHz to 26 GHz, GaAs MMIC Fundamental Mixer HMC773A FEATURES Conversion loss: 9 db typical Local oscillator (LO) to radio frequency (RF) isolation: 37 db typical LO to intermediate frequency (IF) isolation: 37 db typical RF to IF isolation: db typical Input

More information

1. Publishable summary

1. Publishable summary 1. Publishable summary 1.1. Project objectives. The target of the project is to develop a highly reliable high brightness conformable low cost scalable display for demanding applications such as their

More information

MEMS WAFER-LEVEL PROCESSES

MEMS WAFER-LEVEL PROCESSES MEMS WAFER-LEVEL PROCESSES Ken Gilleo PhD - Ken@T-Trends.com ET-Trends LLC West Greenwich, RI ABSTRACT MEMS could become a hallmark technology for the 21 st century. Ability to sense, analyze, compute,

More information

TECHNICAL SPECIFICATION

TECHNICAL SPECIFICATION TECHNICAL SPECIFICATION (FIBER OPTIC SPLICE CLOSURE) Model Spec. No. Distribution Depts. VSOF-BS403A SJP-0609-403A-01A/SD Quality Assurance Team Manufacturing Division Sales Division Management Division

More information

Nano-scale displacement measurement of MEMS devices using fiber optic interferometry

Nano-scale displacement measurement of MEMS devices using fiber optic interferometry Nano-scale displacement measurement of MEMS devices using fiber optic interferometry C. W. Lee, X. M. Zhang, S. C. Tjin and A. Q. Liu Microelectronic Division, School of Electrical & Electronic Engineering,

More information

MOST - Roadmap Physical Layer & Connectivity from 150Mbps to 5Gbps

MOST - Roadmap Physical Layer & Connectivity from 150Mbps to 5Gbps MOST - Roadmap Physical Layer & Connectivity from 150Mbps to 5Gbps 13th MOST(R) Interconnectivity Conference Asia on November 15, 2012 in Seoul, South Korea Andreas Engel Manager Advanced Infotainment

More information

2016, Amkor Technology, Inc.

2016, Amkor Technology, Inc. 1 Standardization of Packaging for the Internet of Things Adrian Arcedera l VP of MEMS and Sensor Products 2 About Amkor Technology Amkor Technology, Inc. is one of the world's largest and most accomplished

More information

SEMI 大半导体产业网

SEMI 大半导体产业网 STATE-OF-THE-ART 3D INTEGRATION GAN HUI, STEVEN OUTLINE Introduction about IMEC Application Areas Driven 3D Integration 3D Integration Technology Conclusions 1 IMEC 1984 2010 1984 Established by state

More information

Electronic Costing & Technology Experts

Electronic Costing & Technology Experts Electronic Costing & Technology Experts 21 rue la Nouë Bras de Fer 44200 Nantes France Phone : +33 (0) 240 180 916 email : info@systemplus.fr www.systemplus.fr December 2013 Version 1 Written by Romain

More information

MAAP DIEEV1. Ka-Band 4 W Power Amplifier GHz Rev. V1. Features. Functional Diagram. Description. Pin Configuration 2

MAAP DIEEV1. Ka-Band 4 W Power Amplifier GHz Rev. V1. Features. Functional Diagram. Description. Pin Configuration 2 Features Frequency Range: 32 to Small Signal Gain: 18 db Saturated Power: 37 dbm Power Added Efficiency: 23% % On-Wafer RF and DC Testing % Visual Inspection to MIL-STD-883 Method Bias V D = 6 V, I D =

More information

IN-VISION All rights reserved. IN-VISION GmbH. B2B DLP Light Engine and Optical Solutions

IN-VISION All rights reserved. IN-VISION GmbH. B2B DLP Light Engine and Optical Solutions IN-VISION 2017. All rights reserved. IN-VISION GmbH B2B DLP Light Engine and Optical Solutions Company Long-term experience in development and manufacturing of high-end optical projection lens assemblies

More information

SR1320AD DC TO 20GHZ GAAS SP3T SWITCH

SR1320AD DC TO 20GHZ GAAS SP3T SWITCH FEATURES: Low Insertion Loss: 1.6dB at 20GHz High Isolation: 42dB at 20GHz Excellent Return Loss 19ns Switching Speed GaAs phemt Technology PACKAGE - BARE DIE, 1.91MM X 2.11MM X 0.10MM 100% RoHS Compliant

More information

TCP-3039H. Advance Information 3.9 pf Passive Tunable Integrated Circuits (PTIC) PTIC. RF in. RF out

TCP-3039H. Advance Information 3.9 pf Passive Tunable Integrated Circuits (PTIC) PTIC. RF in. RF out TCP-3039H Advance Information 3.9 pf Passive Tunable Integrated Circuits (PTIC) Introduction ON Semiconductor s PTICs have excellent RF performance and power consumption, making them suitable for any mobile

More information

TN0991 Technical note

TN0991 Technical note Technical note Description of WLCSP for STMicroelectronics EEPROMs and recommendations for use Introduction This document describes the 5 and 8-bump WLCSPs (wafer level chip size package) used for STMicroelectronics

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

TGA4541-SM Ka-Band Variable Gain Driver Amplifier

TGA4541-SM Ka-Band Variable Gain Driver Amplifier Applications VSAT Point-to-Point Radio Test Equipment & Sensors Product Features 441 1347 717 QFN 6x6mm L Functional Block Diagram Frequency Range: 28 31 GHz Power: 23 dbm P1dB Gain: 33 db Output TOI:

More information

SPECIFICATION FIBER OPTIC SPLICE CLOSURE. Spec No : VSS-1007-BS403A-04A/SD. VSS-0107-BS403A-04A/SD R & D Center Manufacturing Division

SPECIFICATION FIBER OPTIC SPLICE CLOSURE. Spec No : VSS-1007-BS403A-04A/SD. VSS-0107-BS403A-04A/SD R & D Center Manufacturing Division SPECIFICATION FIBER OPTIC SPLICE CLOSURE Model Spec. No. Distribution Depts. VSOF-BS403A VSS-0107-BS403A-04A/SD R & D Center Manufacturing Division Sales Division Management Division Revision 10. 07 (Rev.4)

More information

21 rue La Nouë Bras de Fer Nantes - France Phone : +33 (0) website :

21 rue La Nouë Bras de Fer Nantes - France Phone : +33 (0) website : 21 rue La Nouë Bras de Fer - 44200 Nantes - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr November 2012 - Version 1 Written by: Romain FRAUX DISCLAIMER :

More information

Features. = +25 C, IF = 1 GHz, LO = +13 dbm*

Features. = +25 C, IF = 1 GHz, LO = +13 dbm* v.5 HMC56LM3 SMT MIXER, 24-4 GHz Typical Applications Features The HMC56LM3 is ideal for: Test Equipment & Sensors Point-to-Point Radios Point-to-Multi-Point Radios Military & Space Functional Diagram

More information

WheelProbe2. Simplicity Capability Reliability

WheelProbe2. Simplicity Capability Reliability WheelProbe2 Simplicity Capability Reliability From the innovators who brought the idea to the market over a decade ago, Sonatest is proud to extend its WheelProbe family by introducing the New Generation

More information

Uniformity Improvement of Micromirror Array for Reliable Working Performance as an Optical Modulator in the Maskless Photolithography System

Uniformity Improvement of Micromirror Array for Reliable Working Performance as an Optical Modulator in the Maskless Photolithography System 132 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.1, NO. 2, JUNE 2001 Uniformity Improvement of Micromirror Array for Reliable Working Performance as an Optical Modulator in the Maskless Photolithography

More information

MEMS Multi-sensor System for Flight Testing

MEMS Multi-sensor System for Flight Testing Invited Paper MEMS Multi-sensor System for Flight Testing Minas H. Tanielian The Boeing Company, Phantom Works P.O. BOX 3999, MS 3W-80 Seattle, WA 98124-2499 (253) 657-5766, e-mail: minas.h.tanielian@boeing.com

More information

WheelProbe 2. Innovation, Flexibility, Performance

WheelProbe 2. Innovation, Flexibility, Performance WheelProbe 2 Innovation, Flexibility, Performance Composite inspection WP2 allows you to build the most efficient solutions for scanning large areas of composite. As the best alternative to immersion inspection

More information

Meeting the challenge of accurately assembling active optical cables

Meeting the challenge of accurately assembling active optical cables More than Precision Meeting the challenge of accurately assembling active optical cables No one would dispute the fact that active optical cable (AOC) and Silicon Photonics technologies are getting tremendous

More information

TGA2218-SM GHz 12 W GaN Power Amplifier

TGA2218-SM GHz 12 W GaN Power Amplifier Applications Satellite Communications Data Link Radar Product Features Functional Block Diagram Frequency Range: 13.4 16.5 GHz PSAT: > 41 dbm (PIN = 18 dbm) PAE: > 29% (PIN = 18 dbm) Large Signal Gain:

More information

ATC 600S Series Ultra-Low ESR, High Q, NPO RF & Microwave Capacitors

ATC 600S Series Ultra-Low ESR, High Q, NPO RF & Microwave Capacitors ATC 600S Series Ultra-Low ESR, High Q, NPO RF & Microwave Capacitors Features: Lowest ESR in Class Highest Working Voltage in class 250V Standard EIA Size: 0603 Laser Marking (Optional) RoHS Compliant

More information

Introduction to Applications and Industries for Microelectromechanical Systems (MEMS)

Introduction to Applications and Industries for Microelectromechanical Systems (MEMS) Introduction to Applications and Industries for Microelectromechanical Systems (MEMS) Jeremy A. Walraven Sandia National Laboratories. Albuquerque, NM USA Abstract Microelectromechanical Systems (MEMS)

More information

NGUYENV4.TXT. Micro-Electro-Mechanical Systems: Scaling Beyond the Electrical Domain Clark Nguyen

NGUYENV4.TXT. Micro-Electro-Mechanical Systems: Scaling Beyond the Electrical Domain Clark Nguyen Micro-Electro-Mechanical Systems: Scaling Beyond the Electrical Domain Clark Nguyen Smaller is better. Probably not a phrase you'll hear often in everyday conversation, but one that curiously rings true

More information

Analog, Mixed-Signal, and Radio-Frequency (RF) Electronic Design Laboratory. Electrical and Computer Engineering Department UNC Charlotte

Analog, Mixed-Signal, and Radio-Frequency (RF) Electronic Design Laboratory. Electrical and Computer Engineering Department UNC Charlotte Analog, Mixed-Signal, and Radio-Frequency (RF) Electronic Design Laboratory Electrical and Computer Engineering Department UNC Charlotte Teaching and Research Faculty (Please see faculty web pages for

More information

EQUIPMENT VACUUM WEB COATING SYSTEMS ROLL-TO-ROLL ROLL-TO-ROLL

EQUIPMENT VACUUM WEB COATING SYSTEMS ROLL-TO-ROLL ROLL-TO-ROLL ROLL-TO-ROLL EQUIPMENT VACUUM WEB COATING SYSTEMS ROLL-TO-ROLL VACUUM WEB COATING APPLICATIONS VON ARDENNE provides tailored web coating solutions for today s most ambitious thin-film applications on polymer

More information

SEMI Flat-Panel Display Division Phosphor Technology Center of Excellence TABLE 10 MAJOR ACTIVITIES OF PTCOE Ferroelectric Liquid

SEMI Flat-Panel Display Division Phosphor Technology Center of Excellence TABLE 10 MAJOR ACTIVITIES OF PTCOE Ferroelectric Liquid INTRODUCTION... XVIII STUDY GOALS AND OBJECTIVES... XVIII REASONS FOR DOING THIS STUDY... XVIII CONTRIBUTIONS TO THE STUDY AND FOR WHOM... XVIII SCOPE AND FORMAT... XIX METHODOLOGY... XIX INFORMATION SOURCES...

More information

SPECIFICATION. Spec No : VSS-1402-CS603B

SPECIFICATION. Spec No : VSS-1402-CS603B SPECIFICATION Spec No : VSS-1402-CS603B 1. INTRODUCTION 1.1. General This specification covers the design requirements and characteristics required of fiber optic splice closures to be used on fiber optic

More information

Data Sheet. ASMT-UWB1-NX302 OneWhite Surface Mount PLCC-2 LED Indicator. Description. Features. Applications

Data Sheet. ASMT-UWB1-NX302 OneWhite Surface Mount PLCC-2 LED Indicator. Description. Features. Applications ASMT-UWB1-NX32 OneWhite Surface Mount PLCC-2 LED Indicator Data Sheet Description This family of SMT LEDs is packaged in the industry standard PLCC-2 package. These SMT LEDs have high reliability performance

More information

GHz High Dynamic Range Amplifier

GHz High Dynamic Range Amplifier Features.2 to 6. GHz Range +41 dbm Output IP3 1.7 db db +23 dbm P1dB LGA Package Single Power Supply Single Input Matching The is a high dynamic range amplifier designed for applications operating within

More information

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding Ultrasonic Technology for Advanced Package Inspection A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS RELIABILITY REPORT FOR MAX2135AETN+ PLASTIC ENCAPSULATED DEVICES March 04, 2011 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Approved by Don Lipps Quality Assurance Manager, Reliability

More information

Mechanical Considerations in the Outer Tracker and VXD. Bill Cooper Fermilab

Mechanical Considerations in the Outer Tracker and VXD. Bill Cooper Fermilab Mechanical Considerations in the Outer Tracker and VXD Fermilab August 23, 2005 1 Overview I ll describe developments since the SLAC workshop in mechanical design efforts at Fermilab related to SiD tracking.

More information

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs, Zhongda Li, Robert Karlicek and T. Paul Chow Smart Lighting Engineering Research Center Rensselaer Polytechnic Institute, Troy,

More information

VACUUM WEB COATING SYSTEMS ROLL-TO-ROLL ROLL-TO-ROLL VACUUM WEB COATING SYSTEMS

VACUUM WEB COATING SYSTEMS ROLL-TO-ROLL ROLL-TO-ROLL VACUUM WEB COATING SYSTEMS VACUUM WEB COATING SYSTEMS ROLL-TO-ROLL ROLL-TO-ROLL VACUUM WEB COATING SYSTEMS VACUUM WEB COATING APPLICATIONS VON ARDENNE provides tailored web coating solutions for today s most ambitious thin-film

More information

4096-element continuous face-sheet MEMS deformable mirror for high-contrast imaging

4096-element continuous face-sheet MEMS deformable mirror for high-contrast imaging J. Micro/Nanolith. MEMS MOEMS 8 3, 031308 Jul Sep 2009 4096-element continuous face-sheet MEMS deformable mirror for high-contrast imaging Steven A. Cornelissen Paul A. Bierden Boston Micromachines Corporation

More information

GS1524 HD-LINX II Multi-Rate SDI Adaptive Cable Equalizer

GS1524 HD-LINX II Multi-Rate SDI Adaptive Cable Equalizer GS1524 HD-LINX II Multi-Rate SDI Adaptive Cable Equalizer Key Features SMPTE 292M, SMPTE 344M and SMPTE 259M compliant automatic cable equalization multi-standard operation from 143Mb/s to 1.485Gb/s supports

More information

Structure, Process & Cost Analysis

Structure, Process & Cost Analysis Reverse Costing Structure, Process & Cost Analysis Heimann Sensor 32 x 32-array thermopile LWIR image sensor with silicon lens A small, easy to use, low-power, cheap non-contact temperature measurement

More information

Spectral and temporal control of Q-switched solid-state lasers using intracavity MEMS

Spectral and temporal control of Q-switched solid-state lasers using intracavity MEMS Spectral and temporal control of Q-switched solid-state lasers using intracavity MEMS A. Paterson a, R. Bauer a. R. Li a, C. Clark b, W. Lubeigt a, D. Uttamchandani a a University of Strathclyde, Dept.

More information

Next Generation MEMS Manufacturing The ConFab Alissa M. Fitzgerald, Ph.D., Founder & Managing Member

Next Generation MEMS Manufacturing The ConFab Alissa M. Fitzgerald, Ph.D., Founder & Managing Member Next Generation MEMS Manufacturing The ConFab 2017 Alissa M. Fitzgerald, Ph.D., Founder & Managing Member Overview About AMFitzgerald Retrospective: MEMS technology history and markets Next generation

More information