Sub-micron high aspect ratio silicon beam etch

Size: px
Start display at page:

Download "Sub-micron high aspect ratio silicon beam etch"

Transcription

1 Sub-micron high aspect ratio silicon beam etch Gary J. O Brien a,b, David J. Monk b, and Khalil Najafi a a Center for Wireless Integrated Microsystems, Dept. of Electrical Engineering and Computer Science The University of Michigan, Ann Arbor, MI 19 b Motorola Inc. Sensor Products Division, Technology and Product Development Group, Tempe, AZ ABSTRACT High aspect ratio beam/trench arrays are etched into single crystal silicon substrates (1 orientation) using a Surface Technology Systems (STS) deep reactive ion etch (RIE) tool. Process input parameters are varied using high/low values for etch cycle time, passivation cycle time, RF coil power, and SF flow rate. The silicon etch process is characterized using photo-resist masked trench arrays varied from 1.µm through µm in both width and spacing. A design of experiments (DOE) approach is used to model the following measured outputs: 1) trench depth (R 2 =.9), 2) lateral trench etch (R 2 =.2), 3) trench sidewall angle (R 2 =.1), and ) aspect ratio dependent etch (R 2 =.92), where R 2 represents the correlation between actual and model predicted values. The presented characterization models are employed to form beams as small as 3nm wide etched to a depth >1µm with near vertical sidewalls using standard photolithography equipment. In addition, the provided models are exploited to produce a dual re-entrant/tapered beam etch release process. Released silicon beams are demonstrated over 12µm long and 3µm thick with a base width of 3nm. 1. INTRODUCTION High aspect ratio silicon structures are desired in MEMS devices such as accelerometers [1] and gyroscopes [2,3]. Fluorine based chemistry is the common choice for deep silicon etching because of its high etch rate and selectivity. Fluorine etch anisotropy can be improved by using a time multiplexed plasma etch and passivation process previously developed by Bosch []. Etching of silicon microstructures with feature sizes typically ranging from two to hundreds of microns have been thoroughly studied with etch parameters extensively characterized [-]. Fluorine based chemistries have been previously shown to significantly increase both selectivity and etch rate in excess 1:1 and 1µm/minute [] respectively. Deep reactive ion etching of silicon trenches based on Cl 2 chemistry [] exhibits slow silicon etch rates and lower selectivity toward the desired SiO 2 masking film [9], typically 2-3:1. Photo-resist etch selectivity in Cl 2 chemistry is on the order of 1:1 when compared to silicon, practically excluding its use as an etch mask in deep trench etching. In contrast to chlorine, fluorine radicals etch silicon without the need for ion impact assistance resulting in an isotropic etch. Anisotropy has previously been improved for SF based chemistries by ion impact assistance coupled with sidewall passivation using polymer deposition [] and/or O 2 plasma oxidation and redeposition at the silicon sidewall surface [1,11]. The introduction of O 2 during the etch cycle has also been previously suggested to improve anisotropy by acting as a getting agent for carbon [12]. In any case, the trench width is generally increased as compared to the original mask opening due to the presence of mixed silicon etching of the sidewall typically on the order of hundreds of nanometers. The passivation cycle, ranging from to seconds, deposits a fluorocarbon polymer on the wafer surface using C F as a plasma source gas. The fluorocarbon polymer is comprised of a chain of CF 2 molecules similar in composition to Teflon TM with a film thickness of approximately 1-nm. The following etch cycle, ranging from to 12 seconds, uses SF as a plasma source gas to etch silicon. The ion assisted SF etch removes the polymer passivation on exposed

2 horizontal surfaces prior to etching the underlying silicon. Scalloping occurs when chemically reactive fluorine is adsorbed at a non-passivated silicon trench sidewall surface resulting in a localized isotropic silicon etch. Trench sidewall scalloping is typically more pronounced at the top, lessening in severity from top to bottom. The first five steps for the STS ICP-RIE sequence are shown in Figure 1. Mask Silicon Substrate Pre-Etch Polymer (CF 2 ) CF 2 Step 3: Passivate + SF n + F Photoresist Scalloping Step1: Passivate + SF n + F Step : Etch CF 2 Silicon Substrate Step 2: Etch Step : Passivate Scalloping Figure 1. The STS Time Multiplexed Passivation/Etch Cycle Process with Trench Etch Example Cross Section Smaller feature size with larger aspect ratio is typically preferred in MEMS accelerometer and gyroscope proof mass suspension tethers. This paper presents a DOE based characterization of silicon deep trench etching using typical input parameters for the STS RIE tool. Characterization results are used to form submicron MEMS suspension tethers as beams released from the substrate using typical photolithography minimum feature sizes of 1µm-µm. 2. MEASUREMENT PROCEDURES 2.1 Micrometer Width Trench Arrays A Surface Technology Systems (STS) deep RIE tool was used with 1.3µm thick positive photo-resist (AZ-113) to pattern trench arrays etched in this experiment. Fixed trench width was maintained on an individual array basis while inter trench spacing (beam width) was varied from 1µm to µm. Three fixed trench width arrays of 2µm, µm, and µm are evaluated in this paper. An array with fixed layout defined trench width of 2µm is shown in Figure 2. Top Width Depth Bottom Width Figure 2. Example of Trench Array Measurements Used to Characterize the STS Deep Silicon RIE Tool A design of experiments (DOE) approach provides a viable method to evaluate the maximum number of simultaneous input variables producing a statistically significant change in a specific output variable over a minimum number of

3 evaluated samples [13]. DOE also provides rank order of statistical significance regarding input variable versus modeled output variable responses. Interactions of 1 st and higher orders can also be evaluated simultaneously among the input variables versus output responses. Proper choice of input variable levels is required to preclude artificial correlation of input variables. Typical STS process parameters (etch cycle time, passivation cycle time, RF coil power, and SF flow rate) were varied in this 2 level (high/low) DOE screening experiment as shown in Table 1. Level Pattern Passivate (sec) Power (Watts) SF Flow (sccm) Table 1. DOE Process Input Variables The sample size used in this experiment consisted of sixteen silicon wafers with nine scanning electron microscope (SEM) based measurement sites per wafer. Layout defined trench width and spacing were also included as model geometric variable inputs over all levels shown in Table 1. The modeled output variables were trench etch depth, lateral trench etch width, trench sidewall angle, and aspect ratio dependent etch (ARDE). The output models were evaluated using JMPä statistical software. Input variables observed to produce weak influence (less than % increase in model R 2 ) on model prediction were excluded. The total etch duration was fixed at minutes for all sample measurements incorporated into the presented models. A constant O 2 flow rate of 12sccm was introduced during the etch cycle to improve anisotropy. 3. ETCH MODELS 3.1 Trench Depth Model Trench etch depth was modeled (R 2 =.9) with the following input variables listed in order of decreasing statistical significance: 1) etch cycle time, 2) passivation cycle time, 3) RF coil power, as shown in Figure 3. Etch rate can be extracted from the contour plot shown in Figure 3 by dividing the etch depth by the minute etch period. An example of calculated etch rate for the following input parameters (etch=1s, passivate=s, RF coil power=w) is modeled as 19µm over the min duration, or 2.µm/min. Power (Watts)= Power (Watts)= Power (Watts)= Etch Depth [µm] µm/min Figure 3. Trench Depth Model as a Function of Passivation and Etch Cycle for an RF coil power of,, and W

4 3.2 Lateral Trench Etch Model The lateral trench etch model was referenced to the trench top width measurement. As a result, the lateral trench etch model defines the lateral mask undercut/erosion at the photo-resist/silicon interface. Lateral trench etch was modeled (R 2 =.2) with the following input variables listed in order of decreasing significance: 1) etch cycle time, 2) passivation cycle time, and 3) RF etch power, as shown in Figure. Power (Watts)=.1.2. Power (Watts)=.2 Power (Watts)= Lateral Etch [µm] Figure. Lateral Etch Model as a Function of Passivation and Etch Cycle for an RF coil power of,, and W The lateral trench etch model was re-evaluated with the following input variables listed in order of decreasing significance: 1) etch cycle time, 2) passivation cycle time, 3) RF etch power, and ) layout defined trench width. The second lateral trench etch model (R 2 =.91) exhibited an increase of only.9% in prediction as compared to the initial model. Although the second model accurately predicts the trend of higher etch rate for larger width trenches, the small increase in prediction as compared to added complexity should be noted. An excerpt from the second model with a fixed RF coil power of Watts for layout defined trench widths of 2µm, µm, and µm wide trenches is shown in Figure. Statistical model dependence on inter-trench spacing (beam width) was not observed. Power (Watts)= =2 Power (Watts)= = Power (Watts)= = Lateral Etch [µm] Figure. Lateral Etch Model as a Function of Passivation and Etch Cycle Time for an RF coil Power of W with Fixed 2µm, µm, and µm Trench Widths 3.3. Sidewall Angle Model Trench sidewall angle [1] was measured as the straight angle between the top and bottom trench width measurements as shown in Figure. Trench sidewall angle was initially modeled with the following input variables listed in order of decreasing statistical significance: 1) etch cycle time, 2) passivation cycle time, and 3) RF coil power as shown in figure.

5 Photoresist θ 1º-θ Trench Silicon Substrate Figure. Re-entrant Trench Etch Sidewall Angle Measurement The trench sidewall angle model (R 2 =.1) is a weak, but statistically significant function of layout defined trench width. Additional modeling included layout defined trench width with an increase in prediction of only 2.% (R 2 =.39). Although the prediction increase is small, the etch trend is captured and results for the layout defined 2µm and µm wide trenches are shown in Figures and respectively. =2 Power (Watts)= =2 Power (Watts)= =2 Power (Watts)= T.. Sidewall Angle [ ] Figure. Sidewall Angle Model as a Function of Passivation and Etch Cycle Time for an with Fixed 2µm Trench Width and RF coil Power of,, and W = Power (Watts)= = Power (Watts)= = Power (Watts)= Sidewall Angle [ ] Figure. Sidewall Angle Model as a Function of Passivation and Etch Cycle Time for an with Fixed µm Trench Width and RF coil Power of,, and W

6 To produce a near vertical sidewall we note that the slope of the 9 sidewall angle model line, shown in both Figures and, is approximately 1. Our model predicts that for etch cycle times greater than seconds, the corresponding passivation cycle time producing a 9º sidewall is four seconds shorter overall. Although the SF flow rate and mask defined trench spacing were both statistically significant they had less than a % affect on model R 2 when factored either together or separately. As a result, both the SF and trench width input parameters were excluded from the model. 3. Aspect Ratio Dependent Etch Aspect ratio dependent etch [] trench depth was modeled with the following input variables listed in order of decreasing statistical significance: 1) etch cycle time, 2) passivation cycle time, 3) mask defined trench width, and ) RF coil power. The ARDE model (R 2 =.92) describes the relationship between layout defined trench width and etch depth; as the trench width is decreased the etch depth also decreases for a fixed etch and passivation cycle time. The ARDE trench depth model is shown in Figure 9 with a constant etch cycle time of 12s. =12 Power (Watts)= =12 Power (Watts)= =12 Power (Watts)= Etch Depth [µm] Figure 9. Trench Depth Model ARDE Effects with a Fixed 12s Etch Cycle for an RF Power of,, and W The ARDE can be minimized by adjusting the ratio of etch to passivation cycle time []. As the ratio of etch to passivation cycle time approaches unity the etch rate distributed across the 2µm through µm layout defined trench widths is relatively uniform for a fixed s etch cycle time as shown in Figure 1. 2 = Power (Watts)= = Power (Watts)= = Power (Watts)= E Etch Depth [µm] Figure 1. Trench Depth Model ARDE Effects with a Fixed s Etch Cycle for an RF Power of,, and W However, a 1:1 etch to passivation cycle significantly reduces the overall etch rate and the resulting sidewall angle is less than vertical. The sidewall profile observed for levels 1 through were tapered (ϑ<9 ) and all trenches formed using these etch input parameters exhibited grass [11] formation as shown in Figure

7 Figure 11. Tapered Etch with Grass Formation in Large Trenches The re-entrant (ϑ>9 ) and vertical (ϑ 9 ) sidewall trenches were not observed to form grass. The re-entrant etch trench sidewall is represented by levels 9 through 12 while the vertical trench etch profiles are represented by levels 13 through 1, as shown in Table 1. Grass was observed when the ratio of etch to passivation cycle time was less than 3:2. Also, the surface density of grass was observed to significantly increase as the ratio of etch to passivation cycle time was decreased below 1:1 respectively.. SUBMICRON BEAM ETCH.1 Submicron Beam Formation Beam arrays with a photo defined 1.µm trench spacing were etched for min using level 1 etch parameters, as shown in Table 1. The lateral trench etch model predicted a post etch.µm beam width. The actual post etch beam width was approximately.3µm, as shown in Figure 12..3µm Figure 12. Vertical Sidewall, 3nm Wide Beam Detail Scalloping of the trench sidewall near the top of the trench was observed as large as 9nm. Sidewall asperities near the trench midsection were limited to <nm. Beam sidewall angle was estimated as nearly vertical..2 Submicron Beam Re-entrant Etch Release A 1 minute re-entrant etch (level 9) immediately followed with a 2 minute tapered etch (level 1) was used to release beams from the substrate. The released silicon beam tip is approximately 12µm long, 3µm thick, with a maximum width of 3nm at the etch mask/si interface as shown in Figure 13.

8 ~3nm wide at mask interface Beam Tip Detail ~3µm 1µm Front View Side View Re-entrant etch released beam tip Figure 13. Midpoint Re-entrant Etch Released Beam This represents an aspect ratio of approximately 1. The rough bottom etch is attributed to abrupt switching between re-entrant and tapered recipes. The abrupt switching rationale is supported by the rough etch band located at the trench midpoint corresponding to the STS etch recipe transition region on large width silicon as shown in Figure 1. Future release etch efforts will include a chamber gas stabilization period between the re-entrant and tapered STS etch recipe transition. Re-entrant Etch Beam STS Etch Recipe Transition Tapered Etch Beam Figure 1. Midpoint Re-entrant Etch Released Beam Base Detail The ratio of etch to passivation cycle time was changed from 3:1 to 1:1, with all other input parameters held constant, resulting in a swing from re-entrant to tapered sidewall etching at the beam midpoint respectively. The silicon wafers (1 orientation) were insitu doped with phosphorous during Czochralski growth [1] to a uniform bulk resistivity of.-12.ω-cm. The absence of a buried dielectric layer coupled with a photo-resist etch mask suggests that the angle of ion assisted incidence is not significantly affected by trapped dielectric charge [1,1] in the presented case. We suggest the etch sidewall angle is most likely a strong function of the etch to passivation ratio as predicted by our presented model. Also, beams have been etch released from the substrate without an intermediate sidewall oxidation step [1]..3. Submicron Beams and Trenches Lateral and vertical trench etching was evaluated at the submicron level using a nano-imprint defined [1] oxide hardmask. Trenches 3nm wide with a nm period were formed using a 12nm thick oxide etch mask. Etch parameters were fixed to s passivation cycle, RF coil power of W, and SF flow rate of 13sccm, for a total duration of 2 minutes. The etch cycle time was varied over three evaluated etch samples. The first sample etch cycle time was set to.s. The.s etch sample exhibited an average trench depth was measured as 1.3µm (σ=.µm) where σ represents the standard deviation. Lateral trench etching was observed on the order of 9nm per side with scalloping sidewall asperities as large as nm were observed using the. second etch cycle time as shown in Figure 1.

9 SiO 2 Mask Mask Interface Silicon Figure 1. Submicron Lateral Trench Etching using.s Etch Cycle A 1:1 etch to passivation cycle time ratio was chosen to minimize lateral trench etching. Lateral trench etching on the order of 3nm per side with scalloping sidewall asperities as large as nm were observed using a. second etch cycle time described by level 2 etch parameters. Surface roughness due to scalloping can be reduced post etch by thermal oxidation of the sidewall followed by removal of the oxide [19]. The average trench depth was measured as 9.µm (σ=.µm) as shown in Figure 1. Figure 1. Minimized Submicron Lateral Trench Etching using.s Etch Cycle Negative lateral trench etching on the order of 9nm per side with scalloping sidewall asperities as large as nm were observed using a 3. second etch cycle time described by level 3 etch parameters. The average trench depth was 3.9µm (σ=.3µm) as shown in Figure 1..3µm.1µm Figure 1. Negative Submicron Lateral Trench Etching using 3.s Etch Cycle

10 The average etched trench depth for the.s and 3.s etch cycle samples were 1.3µm and 3.9µm respectively representing a decrease in etch rate on the order of 2.X. The post etch trench for the 3.s etch cycle was approximately 1nm wide as compared to the SiO 2 masked 3nm wide opening. This negative lateral etch represents an approximate reduction of.2µm in the SiO 2 masked trench width. Silicon etching was not observed for an etch cycle of 3.2s due to excessive CF 2 deposition at the oxide hard mask and exposed silicon surface. A combination of CF 2 pile-up and silicon surface oxidation may be responsible for the smaller than mask defined trenches observed. Oxygen has been previously proposed to passivate the silicon surface [1,11] by forming an oxide film and may also protect the sidewall at the SiO 2 /Si interface resulting in a smaller than mask defined etched trench width. Submicron layout defined beam and trench spacing, with or without an SiO 2 hardmask, requires lateral silicon etching to be minimized by setting the etch to passivation ratio with approximately 1:1 values. As a result, very little sidewall etch angle control is afforded submicron layout defined beam spacing since all etch profiles in this regime are slightly tapered. In addition, trench widths larger than nm were observed to form grass [11] using a near 1:1 etch to passivation cycle ratios. Trench widths in excess of nm require an etch to passivation ratio larger than 3:2 respectively where silicon grass formation is undesired. Scalloping has previously been eliminated using electroplated Ni masks []. A dual trench etch process has also been previously shown to significantly reduce both sidewall scalloping and inter trench depth variation in submicron trench arrays by using HBr/Cl chemistry to etch the initial nm of trench depth followed by a 1µm etch in a SF /C F based time multiplexed process [2] sequence. This diffusion rate limited deep etch process is very sensitive to submicron inter trench sidewall scallop variation across an array as the silicon etch byproduct is transported away from the trench bottom in gas phase causing an increase the etched trench depth variability. This microscopic loading effect manifests itself as an etch rate dependence on feature size and array density [21]. Scalloping asperities were observed to decrease where the etch to passivation ratio was fixed and both etch and passivation cycle times were decreased resulting in a lower overall etch rate and higher sidewall scallop frequency versus normalized depth.. CONCLUSION Submicron high aspect ratio beams etched into silicon wafers have been demonstrated using standard photolithography equipment in conjunction with STS deep RIE equipment. Models were presented for 1) trench depth (R 2 =.9), 2) trench sidewall angle (R 2 =.1), 3) trench lateral etch (R 2 =.2), and ) aspect ratio dependent etch (R 2 =.92). The most significant parameters common throughout all four presented models were etch cycle time, passivation cycle time, and RF coil power. Proper mask bias can be estimated using the models presented in this paper with to form submicron beam/trench designs on silicon wafer substrates with nearly vertical sidewalls. Re-entrant etch released beams with an aspect ratio of approximately 1 are demonstrated. Future work will address fabrication of accelerometer submicron width high aspect ratio tethers using the re-entrant etch release process. Modeled lateral etch trends were observed on submicron width trench arrays with an oxide hard mask using a 1:1 etch to passivation cycle time ratio. Sidewall scalloping was observed to decrease as the etch to passivation ratio is decreased below a 1:1 ratio respectively. REFERENCES [1] M. Offenberg, F. Laermer, B. Elsner, H. Munzel, and W. Riethmuller, Novel Process for a Monolithic Integrated Accelerometer, IEEE Transducers 9, pp. 9-92, 199. [2] M. Putty and K. Najafi, A Micromachined Vibrating Ring Gyroscope, Solid State Sensor and Actuator Workshop, Hilton Head, pp , 199. [3] F. Ayazi and K. Najafi, Design and Fabrication of a High Performance Polysilicon Vibrating Ring Gyroscope, IEEE MEMS9, pp. 21-2, 199.

11 [] F. Laermer and A. Schlip of R. Bosch GmbH, Method of Anisotropically Etching Silicon, U.S. Patent Nos.,,1 and,,2 (1991) and German Patent No. 21C1 (199). [] F. Laermer, A. Schlip, K. Funk, and M. Offenberg, Bosch Deep Silicon Etching: Improving Uniformity and Etch Rate for Advanced MEMS Applications, MEMS 99, pp , [] A.A. Ayon, R. Braff, C.C. Lin, H.H.Sawin, and M.A. Schmidt, Characterization of a Time Multiplexed Inductively Coupled Plasma Etcher, J. Electrochem. Soc., 1(1), pp , [] J.W. Weigold, Dry Etching of High Aspect Ratio Si Microstructures in High Density Plasma for use in MEMS, Ph.D. Thesis, University of Michigan, 2. [] W. C. Tian, J. W. Weigold, S. W. Pang, Comparison of Cl 2 and F-based Dry Etching for High Aspect Ratio Si Microstructures Etched with an Inductively Coupled Plasma, J. Vac. Sci. Technol., B 1, pp , 2. [9] K. T. Sung, and S. W. Pang, Etching of Si with Cl 2 Using an Electron Cyclotron Resonance Source, J. Vac. Sci. Technol., A 11, pp , [1] C. P. D Emic, K. K. Chan, and J. Blum, Deep Trench Plasma Etching of Single Crystal Silicon using SF /O 2 Gas Mixtures, J. Vac. Sci. Technol., B 1, pp , [11] H. Jansen, M. de Boer, and M. Elwenspoek, The Black Silicon Method, J. Micromechanics, vol., no. 2, pp (199). [12] J. Bhardwaj, H. Ashraf, and A. McQuarrie, Dry Silicon Etching for MEMS, Symposium on Microstructures and Microfabricated Systems, Electrochemical Society, 199. [13] D. C. Montgomery, Design and Analysis of Experiments, Wiley, N.Y., N.Y., [1] A. A. Ayon, S. Nagle, L. Frechette, A. Epstein, and M. A. Schmidt, Tailoring Etch Directionality in a Deep Reactive Ion Etching Tool, J. Vac. Sci. Technol., B 1, pp , 2. [1] S. Wolf, and R.N. Tauber, Silicon Processing for the VLSI Era, Lattice Press, Sunset Beach, CA, vol 1, 2. [1] S. A. Campbell, and H. J. Lewerenz, Semiconductor Micromachining, Wiley, N.Y., N.Y., vol. 2, 199 [1] K. A. Shaw, Z. L. Zhang, and N. C. MacDonald, SCREAM: A Single Mask, Single Crystal Silicon Process for MEMS Structures, MicroElectroMechanical Systems Workshop, pp. 1-1, [1] S. Y. Chou, P. R. Krauss, W. Zhang, L.J. Guo, and L. Zhuang, Nanoscale Silicon Field Effect Transistors Fabricated using Imprint Lithography, J. Vac. Sci. Technol. B, 1, pp , 199. [19] W.H. Juan, and S.W. Pang, Batch-Micromachined, High Aspect Ratio Si Mirror Arrays for Optical Switching Applications, International Conference on Solid-State Sensors and Actuators, pp. 93-9, 199. [2] G. O Brien, X. Cheng, and L.J. Guo, Deep Reactive Ion Etched Submicron Beam/Trench Characterization, ASME Microelectromechanical Systems, 21. [21] R.A. Gottscho, C.W. Jurgenson, and D.J. Kitkavage, Microscopic Uniformity in Plasma Etching, J. Vac. Sci. Technol. B 1, pp , 1992.

Deep Silicon Etch Technology for Advanced MEMS Applications

Deep Silicon Etch Technology for Advanced MEMS Applications Deep Silicon Etch Technology for Advanced MEMS Applications Shenjian Liu, Ph.D. Managing Director, AMEC AMEC Company Profile and Product Line-up AMEC HQ, R&D and MF Facility in Shanghai AMEC Taiwan AMEC

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications Angela Kok, Thor-Erik Hansen, Trond Hansen, Geir Uri Jensen, Nicolas Lietaer, Michal Mielnik, Preben Storås

More information

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Etching Part 2 Chapter : 16 Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra, Norwegian University of Science and Technology ( NTNU ) 2 Introduction

More information

Multilevel Beam SOI-MEMS for Optical Applications

Multilevel Beam SOI-MEMS for Optical Applications pp. 281-285 Multilevel Beam SOI-MEMS for Optical Applications Veljko Milanović Adriatic Research Institute 2131 University Ave., Suite 322, Berkeley, CA 94704 veljko@adriaticresearch.org Abstract A microfabrication

More information

Micromachining Technology for Lateral Field Emission Devices

Micromachining Technology for Lateral Field Emission Devices 166 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 1, JANUARY 2001 Micromachining Technology for Lateral Field Emission Devices Veljko Milanović, Member, IEEE, Lance Doherty, Student Member, IEEE,

More information

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon Delft University of Technology Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon Kluba, Marta; Arslan, Aslihan; Stoute, Ronald; Muganda, James; Dekker, Ronald

More information

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. Richard Barnett Dave Thomas Oliver Ansell ABSTRACT Plasma dicing has rapidly gained traction as a viable

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

EE C247B ME C218 Introduction to MEMS Design Spring 2017

EE C247B ME C218 Introduction to MEMS Design Spring 2017 EE C247B ME C218 Introduction to MEMS Design Spring 2017 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture Module

More information

Summary of Selected EMCR650 Projects for Fall 2005 Mike Aquilino Dr. Lynn Fuller

Summary of Selected EMCR650 Projects for Fall 2005 Mike Aquilino Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Summary of Selected EMCR650 Projects for Fall 2005 Mike quilino Dr. Lynn Fuller http://www.rit.edu/~lffeee 82 Lomb Memorial Drive Rochester,

More information

Compensation for transient chamber wall condition using realtime plasma density feedback control in an inductively coupled plasma etcher

Compensation for transient chamber wall condition using realtime plasma density feedback control in an inductively coupled plasma etcher Compensation for transient chamber wall condition using realtime plasma density feedback control in an inductively coupled plasma etcher Pete I. Klimecky, J. W. Grizzle, and Fred L. Terry, Jr. Department

More information

Compensation for transient chamber wall condition using real-time plasma density feedback control in an inductively coupled plasma etcher

Compensation for transient chamber wall condition using real-time plasma density feedback control in an inductively coupled plasma etcher Compensation for transient chamber wall condition using real-time plasma density feedback control in an inductively coupled plasma etcher Pete I. Klimecky, a) J. W. Grizzle, and Fred L. Terry, Jr. Department

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB)

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB) Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB) Final report for Nanofabrication with Focused Ion and Electron beams course (SK3750) Amin Baghban June 2015 1- Introduction Thanks

More information

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Tolis Voutsas* Paul Schuele* Bert Crowder* Pooran Joshi* Robert Sposili* Hidayat

More information

Introduction to. Micragem: A Silicon-on-Insulator Based Micromachining Process. Report ICI-138 V3.0 (Beta version)

Introduction to. Micragem: A Silicon-on-Insulator Based Micromachining Process. Report ICI-138 V3.0 (Beta version) Introduction to Micragem: A Silicon-on-Insulator Based Micromachining Process Report ICI-138 V3.0 (Beta version) December 14, 2004 Copyright 2004 Canadian Microelectronics Corporation This document was

More information

INTRODUCTION TO MICROELECTROMECHANICAL SYSTEMS (MEMS) 520/

INTRODUCTION TO MICROELECTROMECHANICAL SYSTEMS (MEMS) 520/ INTRODUCTION TO MICROELECTROMECHANICAL SYSTEMS (MEMS) 520/530.487 Instructors: Andreou Hemker Sharpe Today: What are MEMS - TI digital mirror example The MEMS industry - history and size The state of MEMS

More information

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems Dr. Jeffrey B. Sampsell Texas Instruments Digital projection display systems based on the DMD

More information

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Overview This document addresses the following chuck edge design issues: Device yield through system uniformity and particle reduction; System

More information

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering Advanced WLP Platform for High-Performance MEMS Presented by Dean Spicer, Director of Engineering 1 May 11 th, 2016 1 Outline 1. Application Drivers for High Performance MEMS Sensors 2. Approaches to Achieving

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADPO1 1322 TITLE: Amorphous- Silicon Thin-Film Transistor With Two-Step Exposure Process DISTRIBUTION: Approved for public release,

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross Materials Chemistry, LLC 1 Polymers in the Electronic Industry Enabling Materials Active Materials?

More information

Screen investigations for low energetic electron beams at PITZ

Screen investigations for low energetic electron beams at PITZ 1 Screen investigations for low energetic electron beams at PITZ S. Rimjaem, J. Bähr, H.J. Grabosch, M. Groß Contents Review of PITZ setup Screens and beam profile monitors at PITZ Test results Summary

More information

HISTORY OF MICROELECTOMECHANICAL SYSTEMS (MEMS)

HISTORY OF MICROELECTOMECHANICAL SYSTEMS (MEMS) HISTORY OF MICROELECTOMECHANICAL SYSTEMS (MEMS) LIGA-micromachined gear for a mini electromagnetic motor [Sandia National Labs] History of MEMS Learning Module Unit Overview The inception of Microelectromechanical

More information

FAST, MEMS-BASED, PHASE-SHIFTING INTERFEROMETER 1

FAST, MEMS-BASED, PHASE-SHIFTING INTERFEROMETER 1 FAST, MEMS-BASED, PHASE-SHIFTING INTERFEROMETER 1 Hyuck Choo 2, Rishi Kant 3, David Garmire 2, James Demmel 2, and Richard S. Muller 2 2 Berkeley Sensor & Actuator Center, University of California, Berkeley,

More information

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1 Presentation Outline The Internet of Things (IoT) as a market

More information

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining Pressure sensor Surface Micromachining Deposit sacrificial layer Si PSG By HF Poly by XeF2 Pattern anchors Deposit/pattern structural layer Etch sacrificial layer Surface micromachining Structure sacrificial

More information

Organic light emitting diode (OLED) displays

Organic light emitting diode (OLED) displays Ultra-Short Pulse Lasers Enable Precision Flexible OLED Cutting FLORENT THIBAULT, PRODUCT LINE MANAGER, HATIM HALOUI, APPLICATION MANAGER, JORIS VAN NUNEN, PRODUCT MARKETING MANAGER, INDUSTRIAL PICOSECOND

More information

(Refer Slide Time: 01:52)

(Refer Slide Time: 01:52) MEMS & Microsystems Prof. Santiram Kal Department of Electronics & Electrical Communication Engineering Indian Institute of Technology, Kharagpur Lecture No. # 01 Introduction to MEMS & Microsystems Good

More information

CMP and Current Trends Related to Advanced Packaging

CMP and Current Trends Related to Advanced Packaging CMP and Current Trends Related to Advanced Packaging Robert L. Rhoades, Ph.D. NCCAVS TFUG-CMPUG Joint Meeting June 7, 2017 Semiconductor Equipment Spare Parts and Service CMP Foundry Foundry Click to edit

More information

Digital Light Processing

Digital Light Processing A Seminar report On Digital Light Processing Submitted in partial fulfillment of the requirement for the award of degree of Bachelor of Technology in Computer Science SUBMITTED TO: www.studymafia.org SUBMITTED

More information

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip Abstract Based on failure analysis data the estimated failure mechanism in capacitor like device structures was simulated on wafer in Front End of Line. In the study the optimal process step for electron

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual LAM490 AutoEtch System Copyright 11.2015 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities

More information

Advanced Sensor Technologies

Advanced Sensor Technologies Advanced Sensor Technologies Jörg Amelung Fraunhofer Institute for Photonics Microsystems Name of presenter date Sensors as core element for IoT Next phase of market grow New/Advanced Requirements based

More information

Reactive Ion Etching of PECVD Silicon Dioxide (SiO 2 ) Layer for MEMS Application

Reactive Ion Etching of PECVD Silicon Dioxide (SiO 2 ) Layer for MEMS Application Reactive Ion Etching of PECVD Silicon Dioxide (SiO 2 ) Layer for MEMS Application by Derwin Washington ARL-TR-3269 July 2004 Approved for public release; distribution unlimited. NOTICES Disclaimers The

More information

Commissioning the TAMUTRAP RFQ cooler/buncher. E. Bennett, R. Burch, B. Fenker, M. Mehlman, D. Melconian, and P.D. Shidling

Commissioning the TAMUTRAP RFQ cooler/buncher. E. Bennett, R. Burch, B. Fenker, M. Mehlman, D. Melconian, and P.D. Shidling Commissioning the TAMUTRAP RFQ cooler/buncher E. Bennett, R. Burch, B. Fenker, M. Mehlman, D. Melconian, and P.D. Shidling In order to efficiently load ions into a Penning trap, the ion beam should be

More information

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Clara Dimas, Julie Perreault, Steven Cornelissen, Harold Dyson, Peter Krulevitch, Paul Bierden, Thomas Bifano, Boston Micromachines

More information

Because Innovation Matters

Because Innovation Matters Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010 Safe Harbor This presentation contains forward-looking statements, including

More information

NGUYENV4.TXT. Micro-Electro-Mechanical Systems: Scaling Beyond the Electrical Domain Clark Nguyen

NGUYENV4.TXT. Micro-Electro-Mechanical Systems: Scaling Beyond the Electrical Domain Clark Nguyen Micro-Electro-Mechanical Systems: Scaling Beyond the Electrical Domain Clark Nguyen Smaller is better. Probably not a phrase you'll hear often in everyday conversation, but one that curiously rings true

More information

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

Uniformity Improvement of Micromirror Array for Reliable Working Performance as an Optical Modulator in the Maskless Photolithography System

Uniformity Improvement of Micromirror Array for Reliable Working Performance as an Optical Modulator in the Maskless Photolithography System 132 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.1, NO. 2, JUNE 2001 Uniformity Improvement of Micromirror Array for Reliable Working Performance as an Optical Modulator in the Maskless Photolithography

More information

Design and Simulation of High Power RF Modulated Triode Electron Gun. A. Poursaleh

Design and Simulation of High Power RF Modulated Triode Electron Gun. A. Poursaleh Design and Simulation of High Power RF Modulated Triode Electron Gun A. Poursaleh National Academy of Sciences of Armenia, Institute of Radio Physics & Electronics, Yerevan, Armenia poursaleh83@yahoo.com

More information

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Ecron Thompson, Peter Rhyins, Ron Voisin, S.V. Sreenivasan *, Patrick Martin Molecular Imprints, Inc., 1807C

More information

I. Introduction. II. Problem

I. Introduction. II. Problem Wiring Deformable Mirrors for Curvature Adaptive Optics Systems Joshua Shiode Boston University, IfA REU 2005 Sarah Cook University of Hawaii, IfA REU 2005 Mentor: Christ Ftaclas Institute for Astronomy,

More information

Plasma dicing 300mm framed wafers - Analysis of improvement in die strength and cost benefits for thin die singulation

Plasma dicing 300mm framed wafers - Analysis of improvement in die strength and cost benefits for thin die singulation 2017 IEEE 67th Electronic Components and Technology Conference Plasma dicing 300mm framed wafers - Analysis of improvement in die strength and cost benefits for thin die singulation Richard Barnett SPTS

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

Overcoming Challenges in 3D NAND Volume Manufacturing

Overcoming Challenges in 3D NAND Volume Manufacturing Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit

More information

Characterization and improvement of unpatterned wafer defect review on SEMs

Characterization and improvement of unpatterned wafer defect review on SEMs Characterization and improvement of unpatterned wafer defect review on SEMs Alan S. Parkes *, Zane Marek ** JEOL USA, Inc. 11 Dearborn Road, Peabody, MA 01960 ABSTRACT Defect Scatter Analysis (DSA) provides

More information

Layout Analysis Analog Block

Layout Analysis Analog Block Layout Analysis Analog Block Sample Report Analysis from an HD Video/Audio SoC For any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks. 3685

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

Luiz Claudio M. Oliveira Khaled M. Ahmida

Luiz Claudio M. Oliveira Khaled M. Ahmida Luiz Claudio M. Oliveira marangoni@fem.unicamp.br Khaled M. Ahmida khaled@fem.unicamp.br Luiz Otávio S. Ferreira lotavio@fem.unicamp.br State University of Campinas UNICAMP Faculty of mechanical Engineering

More information

Driven Duffing Oscillator

Driven Duffing Oscillator Driven Duffing Oscillator Consider an oscillator with cubic nonlinearity driven by an harmonic force The classical response is calculated employing the slow envelope approximation The response in the absence

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

24. Scaling, Economics, SOI Technology

24. Scaling, Economics, SOI Technology 24. Scaling, Economics, SOI Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 December 4, 2017 ECE Department, University

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

Recent advances in optical MEMS devices and systems

Recent advances in optical MEMS devices and systems Invited Paper Recent advances in optical MEMS devices and systems P. R. Patterson, D. Hah, M. M. C. Lee, J.C. Tsai, and M.C. Wu Electrical Engineering Department, University of California, Los Angeles

More information

MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden

MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden MEMS Technologies Dresden - Product Development and Fabrication at IPMS Dresden Michael Müller, Matthias List Outline FhG-IPMS

More information

Supplementary Figure 1. OLEDs/polymer thin film before and after peeled off from silicon substrate. (a) OLEDs/polymer film fabricated on the Si

Supplementary Figure 1. OLEDs/polymer thin film before and after peeled off from silicon substrate. (a) OLEDs/polymer film fabricated on the Si Supplementary Figure 1. OLEDs/polymer thin film before and after peeled off from silicon substrate. (a) OLEDs/polymer film fabricated on the Si substrate. (b) Free-standing OLEDs/polymer film peeled off

More information

Lecture 20 Optical MEMS (2)

Lecture 20 Optical MEMS (2) EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 20 Optical MEMS (2) Agenda: MOEMS Introduction Micromirrors EEL6935 Advanced MEMS 2005 H. Xie 3/30/2005 1 Optical MEMS Topics Introduction

More information

Analysis of the CW-mode optically controlled microwave switch

Analysis of the CW-mode optically controlled microwave switch Analysis of the CW-mode optically controlled microwave switch Sangil Lee and Yasuo Kuga Department of Electrical Engineering, University of Washington ABSTRACT Optical-microwave interaction has been emphasized

More information

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Hong Xiao, Long (Eric) Ma, Fei Wang, Yan Zhao, and Jack Jau Hermes Microvision, Inc., 1762 Automation Parkway, San Jose,

More information

De-embedding Techniques For Passive Components Implemented on a 0.25 µm Digital CMOS Process

De-embedding Techniques For Passive Components Implemented on a 0.25 µm Digital CMOS Process PIERS ONLINE, VOL. 3, NO. 2, 27 184 De-embedding Techniques For Passive Components Implemented on a.25 µm Digital CMOS Process Marc D. Rosales, Honee Lyn Tan, Louis P. Alarcon, and Delfin Jay Sabido IX

More information

RTNN Etch capabilities

RTNN Etch capabilities RTNN Etch capabilities A Partnership Between NC State University, Duke University, and UNC Chapel Hill Trion Minilock II: III-V RIE Trion Phantom II: Oxide/Nitride/Polymer SPTS Pegasus DRIE Trion Minilock

More information

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Aki Fujimura* a, Takashi Kamikubo b, Ingo Bork a a D2S Inc., 4040 Moorpark Ave, Suite 250, San Jose, CA, 95117, USA; b NuFlare

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

Comparative Analysis of Organic Thin Film Transistor Structures for Flexible E-Paper and AMOLED Displays

Comparative Analysis of Organic Thin Film Transistor Structures for Flexible E-Paper and AMOLED Displays Comparative Analysis of Organic Thin Film Transistor Structures for Flexible E-Paper and AMOLED Displays Linrun Feng, Xiaoli Xu and Xiaojun Guo ECS Trans. 2011, Volume 37, Issue 1, Pages 105-112. doi:

More information

Nano-scale displacement measurement of MEMS devices using fiber optic interferometry

Nano-scale displacement measurement of MEMS devices using fiber optic interferometry Nano-scale displacement measurement of MEMS devices using fiber optic interferometry C. W. Lee, X. M. Zhang, S. C. Tjin and A. Q. Liu Microelectronic Division, School of Electrical & Electronic Engineering,

More information

Chapter 3 Evaluated Results of Conventional Pixel Circuit, Other Compensation Circuits and Proposed Pixel Circuits for Active Matrix Organic Light Emitting Diodes (AMOLEDs) -------------------------------------------------------------------------------------------------------

More information

Noise Margin in Low Power SRAM Cells

Noise Margin in Low Power SRAM Cells Noise Margin in Low Power SRAM Cells S. Cserveny, J. -M. Masgonty, C. Piguet CSEM SA, Neuchâtel, CH stefan.cserveny@csem.ch Abstract. Noise margin at read, at write and in stand-by is analyzed for the

More information

THE challenges facing today s mobile

THE challenges facing today s mobile MEMS displays MEMS-Based Display Technology Drives Next-Generation FPDs for Mobile Applications Today, manufacturers of mobile electronic devices are faced with a number of competitive challenges. To remain

More information

Nano-Imprint Lithography Infrastructure: Imprint Templates

Nano-Imprint Lithography Infrastructure: Imprint Templates Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images?

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

Mechanical aspects, FEA validation and geometry optimization

Mechanical aspects, FEA validation and geometry optimization RF Fingers for the new ESRF-EBS EBS storage ring The ESRF-EBS storage ring features new vacuum chamber profiles with reduced aperture. RF fingers are a key component to ensure good vacuum conditions and

More information

HB LEDs & OLEDs. Complete thin film process solutions

HB LEDs & OLEDs. Complete thin film process solutions HB LEDs & OLEDs Complete thin film process solutions Get off to a flying start for all your LED thin film deposition and etch processes From 2 inch to 8 inch Manual or fully automated substrate handling

More information

High Repetition Rate USP Lasers Improve OLED Cutting Results

High Repetition Rate USP Lasers Improve OLED Cutting Results Coherent White Paper May 7, 2018 High Repetition Rate USP Lasers Improve OLED Cutting Results High power ultraviolet, picosecond industrial lasers are widely employed because of their proven ability to

More information

CARLITE grain orien TEd ELECTRICAL STEELS

CARLITE grain orien TEd ELECTRICAL STEELS CARLITE grain ORIENTED ELECTRICAL STEELS M-3 M-4 M-5 M-6 Product d ata Bulletin Applications Potential AK Steel Oriented Electrical Steels are used most effectively in transformer cores having wound or

More information

MODE FIELD DIAMETER AND EFFECTIVE AREA MEASUREMENT OF DISPERSION COMPENSATION OPTICAL DEVICES

MODE FIELD DIAMETER AND EFFECTIVE AREA MEASUREMENT OF DISPERSION COMPENSATION OPTICAL DEVICES MODE FIELD DIAMETER AND EFFECTIVE AREA MEASUREMENT OF DISPERSION COMPENSATION OPTICAL DEVICES Hale R. Farley, Jeffrey L. Guttman, Razvan Chirita and Carmen D. Pâlsan Photon inc. 6860 Santa Teresa Blvd

More information

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 Central Texas Electronics Association Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 A review of the latest advancements in Acoustic Micro-Imaging for the non-destructive inspection

More information

IC TECHNOLOGY Lecture 2.

IC TECHNOLOGY Lecture 2. IC TECHNOLOGY Lecture 2. IC Integrated Circuit Technology Integrated Circuit: An integrated circuit (IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor

More information

Wafer defects can t hide from

Wafer defects can t hide from WAFER DEFECTS Article published in Issue 3 2016 Wafer defects can t hide from Park Systems Atomic Force Microscopy (AFM) leader Park Systems has simplified 300mm silicon wafer defect review by automating

More information

Non-Invasive Energy Spread Monitoring for the JLAB Experimental Program via Synchrotron Light Interferometers

Non-Invasive Energy Spread Monitoring for the JLAB Experimental Program via Synchrotron Light Interferometers Non-Invasive for the JLAB Experimental Program via Synchrotron Light Interferometers P. Chevtsov, T. Day, A.P. Freyberger, R. Hicks Jefferson Lab J.-C. Denard Synchrotron SOLEIL 20th March 2005 1. Energy

More information

The Transition to Patterned Media in Hard Disk Drives

The Transition to Patterned Media in Hard Disk Drives The Transition to Patterned Media in Hard Disk Drives The Evolution of Jet and Flash Imprint Lithography for Patterned Media DISKCON San Jose Sept 24 rd, 2009 Paul Hofemann, Vice President, HDD Future

More information

Improvements in Gridless Ion Source Performance

Improvements in Gridless Ion Source Performance Improvements in Gridless Ion Source Performance R.R. Willey, Willey Consulting, Melbourne, FL Keywords: Ion Beam Assisted Deposition (IBAD); Ion source; Reactive depositon ABSTRACT Ion Assisted Deposition

More information

DARPATech 99 DARPA/MTO. Bruce Gnade

DARPATech 99 DARPA/MTO. Bruce Gnade DARPATech 99 DARPA/MTO Bruce Gnade High Definition Systems Objective: Develop leading-edge display technology to meet diverse, but specific, DoD needs. The goals include increased power efficiency, reduced

More information

Polygon Scanners Capabilities, Applications and System integration. considerations

Polygon Scanners Capabilities, Applications and System integration. considerations Workshop ALPS Swissphotonics - APPOLO Polygon Scanners Capabilities, Applications and System integration considerations Lars Penning CEO Next Scan Technology Innovating and leading polygon scanner technology

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

NEXT ION OPTICS SIMULATION VIA ffx

NEXT ION OPTICS SIMULATION VIA ffx 39 th Joint Propulsion Conference Huntsville, Alabama, 0-3 July 003 AIAA 003-4869 NEXT ION OPTICS SIMULATION VIA ffx Cody C. Farnell,* John D. Williams, and Paul J. Wilbur Colorado State University Fort

More information

CPD LED Course Notes. LED Technology, Lifetime, Efficiency and Comparison

CPD LED Course Notes. LED Technology, Lifetime, Efficiency and Comparison CPD LED Course Notes LED Technology, Lifetime, Efficiency and Comparison LED SPECIFICATION OVERVIEW Not all LED s are alike During Binning the higher the flux and lower the forward voltage the more efficient

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

8 DIGITAL SIGNAL PROCESSOR IN OPTICAL TOMOGRAPHY SYSTEM

8 DIGITAL SIGNAL PROCESSOR IN OPTICAL TOMOGRAPHY SYSTEM Recent Development in Instrumentation System 99 8 DIGITAL SIGNAL PROCESSOR IN OPTICAL TOMOGRAPHY SYSTEM Siti Zarina Mohd Muji Ruzairi Abdul Rahim Chiam Kok Thiam 8.1 INTRODUCTION Optical tomography involves

More information

Uniformity Improvement of the Ion Implantation System for Low Temperature Poly-Silicon TFTs

Uniformity Improvement of the Ion Implantation System for Low Temperature Poly-Silicon TFTs Journal of the Korean Physical Society, Vol. 48, January 2006, pp. S27 S31 Uniformity Improvement of the Ion Implantation System for Low Temperature Poly-Silicon TFTs Hirohiko Murata, Masateru Sato, Eiji

More information

Durham Magneto Optics Ltd. NanoMOKE 3 Wafer Mapper. Specifications

Durham Magneto Optics Ltd. NanoMOKE 3 Wafer Mapper. Specifications Durham Magneto Optics Ltd NanoMOKE 3 Wafer Mapper Specifications Overview The NanoMOKE 3 Wafer Mapper is an ultrahigh sensitivity Kerr effect magnetometer specially configured for measuring magnetic hysteresis

More information

Development of OLED Lighting Applications Using Phosphorescent Emission System

Development of OLED Lighting Applications Using Phosphorescent Emission System Development of OLED Lighting Applications Using Phosphorescent Emission System Kazuhiro Oikawa R&D Department OLED Lighting Business Center KONICA MINOLTA ADVANCED LAYERS, INC. October 10, 2012 Outline

More information

4.9 BEAM BLANKING AND PULSING OPTIONS

4.9 BEAM BLANKING AND PULSING OPTIONS 4.9 BEAM BLANKING AND PULSING OPTIONS Beam Blanker BNC DESCRIPTION OF BLANKER CONTROLS Beam Blanker assembly Electron Gun Controls Blanker BNC: An input BNC on one of the 1⅓ CF flanges on the Flange Multiplexer

More information

Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London. Digital IC Design Course

Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London. Digital IC Design Course Scalable CMOS Layout Design Rules Scalable CMOS Layout Design Rules Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London Department of Electrical & Electronic Engineering Digital IC

More information

Failure Analysis Technology for Advanced Devices

Failure Analysis Technology for Advanced Devices ISHIYAMA Toshio, WADA Shinichi, KUZUMI Hajime, IDE Takashi Abstract The sophistication of functions, miniaturization and reduced weight of household appliances and various devices have been accelerating

More information

DEVELOPMENT OF LABVIEW BASED WIRELESS CONTROL SYSTEMS FOR ION BEAM MICROMACHINING SYSTEMS

DEVELOPMENT OF LABVIEW BASED WIRELESS CONTROL SYSTEMS FOR ION BEAM MICROMACHINING SYSTEMS International Journal of Scientific & Engineering Research, Volume 3, Issue 11, November-2012 1 DEVELOPMENT OF LABVIEW BASED WIRELESS CONTROL SYSTEMS FOR ION BEAM MICROMACHINING SYSTEMS Mr. JOSHI TUSHAR

More information