Lecture 6: Simple and Complex Programmable Logic Devices. EE 3610 Digital Systems

Size: px
Start display at page:

Download "Lecture 6: Simple and Complex Programmable Logic Devices. EE 3610 Digital Systems"

Transcription

1 EE 3610: Digital Systems 1 Lecture 6: Simple and Complex Programmable Logic Devices

2 MEMORY 2 Volatile: need electrical power Nonvolatile: magnetic disk, retains its stored information after the removal of power Random access: memory locations can be read or written in a random order Sequential access: access pattern, video memory streaming, first-in-first-out (buffer), last-infirst-out (stack), shift register, content-addressable memory EPROM: erasable programmable read-only memory EEPROM: electrically erasable programmable read-only memory FLASH: memory stick, USB disk Ref: M. Tehranipoor, ECE 3401 Lecture Notes, University of Connecticut

3 Programmable Logic Devices 3

4 Programmable Logic Devices 4

5 Read Only Memory (ROM) Example: 8-word x 4-bit ROM 5

6 Read Only Memory (ROM) 6 1. Each output pattern stored in the ROM is called a word 2. Each input combination serves as an address which can select one of the words which is stored in the memory. 3. We defined a ROM (2 n x m ROM), means an array of 2 n words and each word is m bits long.

7 Read Only Memory (ROM) 7

8 ROM Structure 8 Figure 9.19 Basic ROM Structure A ROM basically consists of a decoder and a memory array When a pattern is applied to the decoder input, exactly one of the 2 n decoder output is 1, this decoder output line selects one of the words in the memory array, and the bit pattern is transferred to the memory output lines Cengage Learning Engineering. All Rights Reserved.

9 2 to 4 decoder ROM: Decoder Cengage Learning Engineering. All Rights Reserved.

10 8 x 3 ROM ROM: Implementation Cengage Learning Engineering. All Rights Reserved.

11 The internal structure of the 8-word X 4 bit ROM Figure 9.20 An 8-Word X 4-Bit ROM The memory array forms the 4 output functions by ORing together selected miniterns from the truth table 11 ORing for F 0

12 Usefulness of ROM ROM can Figure model 9.20 any An n-input, 8-Word m-output X 4-Bit combinational ROM logic problem Example: BCD to 7-Segment Display Inputs: 4 bit BCD code Outputs: 7 control signals for the display 12

13 Usefulness of ROM Example: Figure Sort rods 9.20 of varying An 8-Word length (+/-10%) X 4-Bit on ROM conveyor belt Inputs: Three sensors A,B,C (light sources and photodiodes) Outputs: Two arm control signals First mechanical arm pushes rods within spec (+/-5%) to one side Second mechanical arm pushes rods too long to other side 13 A spec - 5% Too Long Within Spec Too Short spec + 5% B C Suketu 9-13Naik

14 14 Usefulness of ROM Example: Figure Wifi enabled 9.20 An lighting 8-Word control X in 4-Bit a large ROM room or a house Inputs: Three switches in different positions Outputs: Three different modes of light = three different control signals Suketu 9-14Naik

15 How to utilize ROM 15 Convert a 4-bit binary code to a hexadecimal, and output the 7-bits ASCII code. A4=A5, A6=A4 So we only need five ouputs 4 address, creating 16 words; each words shows a 7-bit pattern Suketu 9-15Naik

16 VHDL for ROM library IEEE; use IEEE.std_logic_1164.all; Figure 9.20 An 8-Word X 4-Bit ROM ENTITY rom8x4 IS PORT ( addr: in std_logic_vector(2 downto 0); q: out std_logic_vector(3 downto 0)); END rom8x4; ARCHITECTURE behav OF rom8x4 IS BEGIN PROCESS(addr) BEGIN CASE addr IS when "000" => q <= "0001"; when "001" => q <= "0000"; when "010" => q <= "0111"; when "011" => q <= "1101"; when "100" => q <= "1000"; when "101" => q <= "1100"; when "110" => q <= "0110"; when "111" => q <= "1011"; when others => NULL; END case; END process; END behav; 16

17 17 VHDL for ROM: declare constants library Figure ieee; 9.20 An 8-Word X 4-Bit ROM use ieee.std_logic_1164.all; entity rom16x8 is port(addr: in integer range 0 to 15;---can also have bits here instead data: out std_ulogic_vector(7 downto 0)); end entity; architecture sevenseg of rom16x8 is type rom_array is array (0 to 15) of std_ulogic_vector (7 downto 0); constant rom: rom_array := ( , , , , , , , , , , , , , , , ); begin data <= rom(addr);-may have to use to to_integer if bits end architecture;

18 18 Programmable Logic Array (PLA) Programmable logic array(pla) : functions as ROM For a ROM, we implement a truth table For a PLA, we implement a sum-of product expression Generic AND/OR logic Fused link or FET based 2010 Cengage Learning Engineering. All Rights Reserved.

19 Programmable Logic Array (PLA) Logic Level Cengage Learning Engineering. All Rights Reserved.

20 Programmable Logic Array (PLA) Transistor Level Cengage Learning Engineering. All Rights Reserved.

21 21 Programmable Array Logic (PAL) Figure 9.28 PAL Segment PAL(Programmable Array Logic): Special case of PLA OR array is fixed, we only program the AND array fixed

22 Example: Programming a PAL to implement a full adder 22

23 Programmable Logic Device (PLD) 23 Programmable logic device (PLD) 1.Capable of being programmed to provide a variety of different logic functions. 2. Combinational PLD 3. Lower cost design Example: Generic Array Logic (GAL) Just like PALs but reprogrammable 2010 Cengage Learning Engineering. All Rights Reserved.

24 GAL 22V Cengage Learning Engineering. All Rights Reserved.

25 GAL Segment: Output Logic Macrocell (OLMC) 1) Register 2) Feedback 3) I/O: e.g. 12 input pins, 10 I/O pins 4) -S 1 S 0 =10 : FF is bypassed, output from OR, inverted and fed back to AND -S 1 S 0 =01 : output from FF, not inverted and fed back to AND -S 1 S 0 =00 : dashed lines 25 -When tri-state buffer is in high-z mode, OR and FF are disconnected and pin can be used for input To AND OR FF 10 01

26 GAL Segment: Output Logic Macrocell (OLMC) 1) Register 2) Feedback 3) I/O: e.g. 12 input pins, 10 I/O pins 4) -S 1 S 0 =10 : FF is bypassed, output from OR, inverted and fed back to AND -S 1 S 0 =01 : output from FF, not inverted and fed back to AND -S 1 S 0 =11 : dashed lines 26 -When tri-state buffer is in high-z mode, OR and FF are disconnected and pin can be used for input To AND OR FF 10 01

27 Complex Programmable Logic Devices (CPLD) Outputs from 1 PLD can be routed to inputs of another PLD using interconnect array Maximum clock speed is fixed (as long as registerd are used for each term) 27 I/O PLD PLD I/O Interconnect Array (IA) I/O PLD PLD I/O

28 Complex Programmable Logic Devices (CPLD) 28 Many PLA Figure or PAL 9.30 can Architecture be included in of a CPLD AsXilinx chip CPLD is actually a small digital system Xilinx CoolRunner XCR3064XL CPLD XCR3064XL contain 4 function blocks -Each block is a PLA: programmable AND-OR array -Each block has 16 macrocells (MC)

29 Complex Programmable Logic Devices (CPLD) Function block and Macrocell 29 MUX2: Select either combinational out put(g) or the flip-flop(q) Bi-directional Pin MUX1: Select OR-gate output(f) or it s complement (F )

30 Field Programmable Gate Arra (FPGA) FPGAs contain Figure an 9.32 array Layout of logic cells of called a Typical configurable FPGA logic blocks CLB 30 Programmable Interconnect Area

31 SIDE NOTES: INSIDE THE FPGA 31 CLB=Configurable Logic Block=4 Slices Slice=> two Look Up Table (LUT)s and two Flip Flops

32 A 4-input reprogrammable ROM FPGA: Simplied SLICE This SLICE shows outputs: X, Y, XQ, YQ 2. H1 can select the function generator Suketu 9-32Naik

33 Look Up Table (LUT) FPGAs contain one basic "logic-cell" duplicated thousands of times 33 Logic-cell: Small Look-Up Table (LUT), D flip-flop and 2-to-1 MUX (to bypass the flip flop if needed) Each logic-cell can be connected with other logic-cell through interconnect resources Complex logic can be implemented

34 Xilinx's CLB 34

35 16:1 MUX LUT Implementation: Shift Register 35 16:1 Addressable Shift Register LUT (64-bit Shift Register is max possible) Address (A[3:0]): (1) Dynamically changes the length of the shift register (2) Asynchronous path to D (output)

36 LUT Implementation: Shift Register Shift Register LUT (SRL) Structure 36

37 Library Primitives 37

38 VHDL Template for SRL -- Module: SHIFT_REGISTER_C_16 -- Description: VHDL instantiation template -- CASCADABLE 16-bit shift register with enable (SRLC16E) -- Device: Spartan-3 Generation Family Components Declarations: component SRLC16E -- pragma translate_off generic ( -- Shift Register initialization ("0" by default) for functional simulation: INIT : bit_vector := X"0000" ); -- pragma translate_on port ( D : in std_logic; CE : in std_logic; CLK : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic; Q15 : out std_logic ); end component; -- Architecture Section: -- Ref: Xilinx App Note xapp465, "Using Look-Up Tables as Shift Registers (SRL16) in Spartan-3 Generation FPGAs" 38

39 VHDL Template for SRL -- Attributes for Shift Register initialization ( 0 by default): attribute INIT: string; -- attribute INIT of U_SRLC16E: label is 0000 ; ShiftRegister Instantiation U_SRLC16E: SRLC16E port map ( D =>, -- insert input signal CE =>, -- insert Clock Enable signal (optional) CLK =>, -- insert Clock signal A0 =>, -- insert Address 0 signal A1 =>, -- insert Address 1 signal A2 =>, -- insert Address 2 signal A3 =>, -- insert Address 3 signal Q =>, -- insert output signal Q15 => -- insert cascadable output signal ); 39 Ref: Xilinx App Note xapp465, "Using Look-Up Tables as Shift Registers (SRL16) in Spartan-3 Generation FPGAs"

40 LUT Implementation: RAM LUT is implemented with small RAM Four input bits act as an address bus: select output bit based on four input bits addressing one of 16 stored bits 40 Io I1 I2 I3 Out

41 LUT Implementation: Distributed RAM Types of available RAM in Xilinx FPGAs 41 Single-port RAM: Synchronous write port and asynchronous read port Synchronous reads are possible using the flip-flops Dual-port RAM: One synchronous write and two asynchronous read ports Synchronous reads are possible using the flip-flops Ref: Xilinx App Note xapp464, "Using Look-Up Tables as Distributed RAM in Spartan-3 Generation FPGAs"

42 Library Primitives 42 Ref: Xilinx App Note xapp464, "Using Look-Up Tables as Distributed RAM in Spartan-3 Generation FPGAs"

43 VHDL Template for Distributed RAM -- Module: RAM_16S -- Description: VHDL instantiation template -- Distributed RAM -- Single Port 16 x 1 -- Can also be used for RAM16X1S_1 -- Device: Spartan-3 Family Components Declarations: component RAM16X1S -- pragma translate_off generic ( -- RAM initialization ( 0 by default) for functional simulation: INIT : bit_vector := X"0000" ); -- pragma translate_on port ( D : in std_logic;we : in std_logic;wclk : in std_logic;a0 : in std_logic; A1 : in std_logic;a2 : in std_logic;a3 : in std_logic; O : out std_logic ); end component;

44 VHDL Template for Distributed RAM Architecture section: Attributes for RAM initialization ("0" by default): attribute INIT: string; -- attribute INIT of U_RAM16X1S: label is "0000"; Distributed RAM Instantiation U_RAM16X1S: RAM16X1S port map ( D =>, -- insert Data input signal WE =>, -- insert Write Enable signal WCLK =>, -- insert Write Clock signal A0 =>, -- insert Address 0 signal A1 =>, -- insert Address 1 signal A2 =>, -- insert Address 2 signal A3 =>, -- insert Address 3 signal O => -- insert Data output signal );

45 Programmable Interconnect 45 Interconnects are restricted and prop delays are more predictable in CPLD Propagation delays are not predictable in FPGA Mapping of Logiconto Logic blocks plays a big role in determining prop delays

46 Interconnect: Programming Technologies Static Random Access Memory (SRAM): mainly used in FGPA 46 SCAN Path SRAM Cells LUT Entry SRAM Cells Programmable Interconnect Scan Path is like a large shift register SRAM Cells Combination/Sequential Selection (part of slice)

47 Interconnect: Programming Technologies Static Random Access Memory (SRAM): mainly used in FGPA 47

48 Interconnect: Programming Technologies SRAM Cell Two cross-coupled inverters (Q1-Q2 and Q3-Q4) 48 Inverter Inverter

49 Interconnect: Programming Technologies Electrically erasable programmable ROMs(EEPROM): Using special charge-strorage mechanism to enable or disable the switching elements

50 Interconnect: Programming Technologies Electrically Erasable Programmable Read-Only Memory (EEPROM): used in CPLDs and some FPGAs Isolated or floating gate Stranded charge on the gate keeps the device 'on' 50

51 Interconnect: Programming Technologies EEPROM Usage for programming Unprogrammed state: the floating gate is uncharged Insulating oxide layers surrounding the floating gate are very much thinner: can be easily charged In the write mode, the floating-gate is charged negatively by electrons that tunnel from the drain to the floating gate: +15V voltage to the control gate and connecting both the drain and source to ground. In the read mode, the second transistor can discharge the floating gate 51

52 Interconnect: Programming Technologies EEPROM: Write Operation 52

53 Interconnect: Programming Technologies EEPROM: Read Operation 53 If the floating gate is charged with electrons, 5 volts on the control line will not be enough to turn that transistor on: thus the output will be high or '1' If the floating gate is discharged with electrons the 5 volts on the control line will turn that transistor on: thus the output will be low or '0'

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic EEA091 - Digital Logic 數位邏輯 Chapter 7 Memory and Programmable Logic 吳俊興國立高雄大學資訊工程學系 2006 Chapter 7 Memory and Programmable Logic 7-1 Introduction 7-2 Random-Access Memory 7-3 Memory Decoding 7-4 Error

More information

Figure 1: segment of an unprogrammed and programmed PAL.

Figure 1: segment of an unprogrammed and programmed PAL. PROGRAMMABLE ARRAY LOGIC The PAL device is a special case of PLA which has a programmable AND array and a fixed OR array. The basic structure of Rom is same as PLA. It is cheap compared to PLA as only

More information

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices March 13, 2007 14:36 vra80334_appe Sheet number 1 Page number 893 black appendix E Commercial Devices In Chapter 3 we described the three main types of programmable logic devices (PLDs): simple PLDs, complex

More information

Field Programmable Gate Arrays (FPGAs)

Field Programmable Gate Arrays (FPGAs) Field Programmable Gate Arrays (FPGAs) Introduction Simulations and prototyping have been a very important part of the electronics industry since a very long time now. Before heading in for the actual

More information

CAD for VLSI Design - I Lecture 38. V. Kamakoti and Shankar Balachandran

CAD for VLSI Design - I Lecture 38. V. Kamakoti and Shankar Balachandran 1 CAD for VLSI Design - I Lecture 38 V. Kamakoti and Shankar Balachandran 2 Overview Commercial FPGAs Architecture LookUp Table based Architectures Routing Architectures FPGA CAD flow revisited 3 Xilinx

More information

ECE 263 Digital Systems, Fall 2015

ECE 263 Digital Systems, Fall 2015 ECE 263 Digital Systems, Fall 2015 REVIEW: FINALS MEMORY ROM, PROM, EPROM, EEPROM, FLASH RAM, DRAM, SRAM Design of a memory cell 1. Draw circuits and write 2 differences and 2 similarities between DRAM

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

RELATED WORK Integrated circuits and programmable devices

RELATED WORK Integrated circuits and programmable devices Chapter 2 RELATED WORK 2.1. Integrated circuits and programmable devices 2.1.1. Introduction By the late 1940s the first transistor was created as a point-contact device formed from germanium. Such an

More information

S.K.P. Engineering College, Tiruvannamalai UNIT I

S.K.P. Engineering College, Tiruvannamalai UNIT I UNIT I NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES Part - A Questions 1. Convert the hexadecimal number E3FA to binary.( Nov 2007) E3FA 16 Hexadecimal E 3 F A 11102 00112 11112 10102 So the equivalent binary

More information

Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation

Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation Outline CPE 528: Session #12 Department of Electrical and Computer Engineering University of Alabama in Huntsville Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation

More information

FPGA Design with VHDL

FPGA Design with VHDL FPGA Design with VHDL Justus-Liebig-Universität Gießen, II. Physikalisches Institut Ming Liu Dr. Sören Lange Prof. Dr. Wolfgang Kühn ming.liu@physik.uni-giessen.de Lecture Digital design basics Basic logic

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz CSE140L: Components and Design Techniques for Digital Systems Lab CPU design and PLDs Tajana Simunic Rosing Source: Vahid, Katz 1 Lab #3 due Lab #4 CPU design Today: CPU design - lab overview PLDs Updates

More information

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida Reconfigurable Architectures Greg Stitt ECE Department University of Florida How can hardware be reconfigurable? Problem: Can t change fabricated chip ASICs are fixed Solution: Create components that can

More information

Flip-flop and Registers

Flip-flop and Registers ECE 322 Digital Design with VHDL Flip-flop and Registers Lecture Textbook References n Sequential Logic Review Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 2 nd or

More information

9 Programmable Logic Devices

9 Programmable Logic Devices Introduction to Programmable Logic Devices A programmable logic device is an IC that is user configurable and is capable of implementing logic functions. It is an LSI chip that contains a 'regular' structure

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits

IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits Elena Dubrova KTH/ICT/ES dubrova@kth.se This lecture BV pp. 98-118, 418-426, 507-519 IE1204 Digital Design, HT14 2 Programmable

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) Quiz #2 - Spring 2003 Prof. Anantha Chandrakasan and Prof. Don

More information

IE1204 Digital Design. F11: Programmable Logic, VHDL for Sequential Circuits. Masoumeh (Azin) Ebrahimi

IE1204 Digital Design. F11: Programmable Logic, VHDL for Sequential Circuits. Masoumeh (Azin) Ebrahimi IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits Masoumeh (Azin) Ebrahimi (masebr@kth.se) Elena Dubrova (dubrova@kth.se) KTH / ICT / ES This lecture BV pp. 98-118, 418-426, 507-519

More information

EE6301 DIGITAL LOGIC CIRCUITS UNIT-I NUMBERING SYSTEMS AND DIGITAL LOGIC FAMILIES 1) What are basic properties of Boolean algebra? The basic properties of Boolean algebra are commutative property, associative

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller XAPP22 (v.) January, 2 R Application Note: Virtex Series, Virtex-II Series and Spartan-II family LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller Summary Linear Feedback

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Tajana Simunic Rosing. Source: Vahid, Katz

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Tajana Simunic Rosing. Source: Vahid, Katz CSE140L: Components and Design Techniques for Digital Systems Lab FSMs Tajana Simunic Rosing Source: Vahid, Katz 1 Flip-flops Hardware Description Languages and Sequential Logic representation of clocks

More information

Saturated Non Saturated PMOS NMOS CMOS RTL Schottky TTL ECL DTL I I L TTL

Saturated Non Saturated PMOS NMOS CMOS RTL Schottky TTL ECL DTL I I L TTL EC6302-DIGITAL ELECTRONICS UNIT I MINIMIZATION TECHNIQUES AND LOGIC GATES 1. Define binary logic? Binary logic consists of binary variables and logical operations. The variables are designated by the alphabets

More information

Examples of FPLD Families: Actel ACT, Xilinx LCA, Altera MAX 5000 & 7000

Examples of FPLD Families: Actel ACT, Xilinx LCA, Altera MAX 5000 & 7000 Examples of FPL Families: Actel ACT, Xilinx LCA, Altera AX 5 & 7 Actel ACT Family ffl The Actel ACT family employs multiplexer-based logic cells. ffl A row-based architecture is used in which the logic

More information

Using the XSV Board Xchecker Interface

Using the XSV Board Xchecker Interface Using the XSV Board Xchecker Interface May 1, 2001 (Version 1.0) Application Note by D. Vanden Bout Summary This application note shows how to configure the XC9510 CPLD on the XSV Board to enable the programming

More information

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL 1. A stage in a shift register consists of (a) a latch (b) a flip-flop (c) a byte of storage (d) from bits of storage 2. To serially shift a byte of data into a shift register, there must be (a) one click

More information

A Tour of PLDs. PLD ARCHITECTURES. [Prof.Ben-Avi]

A Tour of PLDs. PLD ARCHITECTURES. [Prof.Ben-Avi] [Prof.Ben-Avi]. (We shall now take a quick initial tour through the land of PLDs... the devices selected for this introductory tour have been chosen either because they are/were extremely popular or because

More information

Lecture 10: Programmable Logic

Lecture 10: Programmable Logic Lecture 10: Programmable Logic We ve spent the past couple of lectures going over some of the applications of digital logic And we can easily think of more useful things to do like having a 7-segment LED

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

STATIC RANDOM-ACCESS MEMORY

STATIC RANDOM-ACCESS MEMORY STATIC RANDOM-ACCESS MEMORY by VITO KLAUDIO OCTOBER 10, 2015 CSC343 FALL 2015 PROF. IZIDOR GERTNER Table of contents 1. Objective... pg. 2 2. Functionality and Simulations... pg. 4 2.1 SR-LATCH... pg.

More information

DHANALAKSHMI SRINIVASAN INSTITUTE OF RESEARCH AND TECHNOLOGY CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN. I Year/ II Sem PART-A TWO MARKS UNIT-I

DHANALAKSHMI SRINIVASAN INSTITUTE OF RESEARCH AND TECHNOLOGY CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN. I Year/ II Sem PART-A TWO MARKS UNIT-I DHANALAKSHMI SRINIVASAN INSTITUTE OF RESEARCH AND TECHNOLOGY CS6201-DIGITAL PRINCIPLE AND SYSTEM DESIGN I Year/ II Sem PART-A TWO MARKS UNIT-I BOOLEAN ALGEBRA AND LOGIC GATES 1) What are basic properties

More information

VU Mobile Powered by S NO Group

VU Mobile Powered by S NO Group Question No: 1 ( Marks: 1 ) - Please choose one A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register.

More information

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017 University of Texas at El Paso Electrical and Computer Engineering Department EE 2169 Laboratory for Digital Systems Design I Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift

More information

Level and edge-sensitive behaviour

Level and edge-sensitive behaviour Level and edge-sensitive behaviour Asynchronous set/reset is level-sensitive Include set/reset in sensitivity list Put level-sensitive behaviour first: process (clock, reset) is begin if reset = '0' then

More information

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS)

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) 1. Convert Binary number (111101100) 2 to Octal equivalent. 2. Convert Binary (1101100010011011) 2 to Hexadecimal equivalent. 3. Simplify the following Boolean function

More information

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems 1 P a g e Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems Lab 5 - VHDL for Sequential Circuits: Implementing a customized State Machine 15 Marks ( 2 weeks) Due

More information

EEE130 Digital Electronics I Lecture #1_2. Dr. Shahrel A. Suandi

EEE130 Digital Electronics I Lecture #1_2. Dr. Shahrel A. Suandi EEE130 Digital Electronics I Lecture #1_2 Dr. Shahrel A. Suandi 1-4 Overview of Basic Logic Functions Digital systems are generally built from combinations of NOT, AND and OR logic elements The combinations

More information

VHDL 4 BUILDING BLOCKS OF A COMPUTER.

VHDL 4 BUILDING BLOCKS OF A COMPUTER. 1 VHDL 4 BUILDING BLOCKS OF A COMPUTER http://www.cse.cuhk.edu.hk/~mcyang/teaching.html 2 We will learn Combinational circuit and sequential circuit Building blocks of a computer Control units are state

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 03 February 09, 2012 Dohn Bowden 1 Today s Lecture Registers and Counters Chapter 12 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

Outline. CPE/EE 422/522 Advanced Logic Design L04. Review: 8421 BCD to Excess3 BCD Code Converter. Review: Mealy Sequential Networks

Outline. CPE/EE 422/522 Advanced Logic Design L04. Review: 8421 BCD to Excess3 BCD Code Converter. Review: Mealy Sequential Networks Outline PE/EE 422/522 Advanced Logic Design L4 Electrical and omputer Engineering University of Alabama in Huntsville What we know ombinational Networks Analysis, Synthesis, Simplification, Hazards, Building

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

ACS College of Engineering. Department of Biomedical Engineering. HDL pre lab questions ( ) Cycle-1

ACS College of Engineering. Department of Biomedical Engineering. HDL pre lab questions ( ) Cycle-1 ACS College of Engineering Department of Biomedical Engineering HDL pre lab questions (2015-2016) Cycle-1 1. What is truth table? 2. Which gates are called universal gates? 3. Define HDL? 4. What is the

More information

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review September 1, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150

More information

UNIT 1 NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES 1. Briefly explain the stream lined method of converting binary to decimal number with example. 2. Give the Gray code for the binary number (111) 2. 3.

More information

Electrically Erasable Programmable Logic Devices as an Aid for Teaching Digital Electronics

Electrically Erasable Programmable Logic Devices as an Aid for Teaching Digital Electronics Iowa State University From the SelectedWorks of Stuart J. Birrell 988 Electrically Erasable Programmable Logic Devices as an Aid for Teaching Digital Electronics Nelson L. Buck, University of Illinois

More information

Digital Systems Design

Digital Systems Design ECOM 4311 Digital Systems Design Eng. Monther Abusultan Computer Engineering Dept. Islamic University of Gaza Page 1 ECOM4311 Digital Systems Design Module #2 Agenda 1. History of Digital Design Approach

More information

Department of Computer Science and Engineering Question Bank- Even Semester:

Department of Computer Science and Engineering Question Bank- Even Semester: Department of Computer Science and Engineering Question Bank- Even Semester: 2014-2015 CS6201& DIGITAL PRINCIPLES AND SYSTEM DESIGN (Common to IT & CSE, Regulation 2013) UNIT-I 1. Convert the following

More information

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 9 Field Programmable Gate Arrays (FPGAs)

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 9 Field Programmable Gate Arrays (FPGAs) EE 459/5 HDL Based Digital Design with Programmable Logic Lecture 9 Field Programmable Gate Arrays (FPGAs) Read before class: Chapter 3 from textbook Overview FPGA Devices ASIC vs. FPGA FPGA architecture

More information

Register Transfer Level (RTL) Design Cont.

Register Transfer Level (RTL) Design Cont. CSE4: Components and Design Techniques for Digital Systems Register Transfer Level (RTL) Design Cont. Tajana Simunic Rosing Where we are now What we are covering today: RTL design examples, RTL critical

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Digital. Digital. Revision: v0.19 Date: : / 76

Digital. Digital. Revision: v0.19 Date: : / 76 Digital Revision: v0.19 Date: 2018-06-14 07:01 https://github.com/hneemann/digital 1 / 76 Table of Contents A General 1. Digital...5 1.1. Introduction... 5 1.2. First Steps...5 1.3. Wires...13 1.4. Hierarchical

More information

XC4000E and XC4000X Series. Field Programmable Gate Arrays. Low-Voltage Versions Available. XC4000E and XC4000X Series. Features

XC4000E and XC4000X Series. Field Programmable Gate Arrays. Low-Voltage Versions Available. XC4000E and XC4000X Series. Features book 1 XC000E and XC000X Series Field Programmable Gate Arrays November 10, 1997 (Version 1.) 1 * Product Specification XC000E and XC000X Series Features Note: XC000 Series devices described in this data

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) How to save a few bucks at toll booths 5) Edge-triggered Registers L13 Memory 1 General Table Lookup Synthesis

More information

Digital Systems Laboratory 1 IE5 / WS 2001

Digital Systems Laboratory 1 IE5 / WS 2001 Digital Systems Laboratory 1 IE5 / WS 2001 university of applied sciences fachhochschule hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK digital and microprocessor systems laboratory In this course you

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

CS6201 UNIT I PART-A. Develop or build the following Boolean function with NAND gate F(x,y,z)=(1,2,3,5,7).

CS6201 UNIT I PART-A. Develop or build the following Boolean function with NAND gate F(x,y,z)=(1,2,3,5,7). VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603203 DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Academic Year: 2015-16 BANK - EVEN SEMESTER UNIT I PART-A 1 Find the octal equivalent of hexadecimal

More information

COE328 Course Outline. Fall 2007

COE328 Course Outline. Fall 2007 COE28 Course Outline Fall 2007 1 Objectives This course covers the basics of digital logic circuits and design. Through the basic understanding of Boolean algebra and number systems it introduces the student

More information

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Chapter Contents. Appendix A: Digital Logic. Some Definitions A- Appendix A - Digital Logic A-2 Appendix A - Digital Logic Chapter Contents Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A. Introduction A.2 Combinational

More information

ECE 545 Lecture 1. FPGA Devices & FPGA Tools

ECE 545 Lecture 1. FPGA Devices & FPGA Tools ECE 545 Lecture FPGA Devices & FPGA Tools George Mason University Required Reading Xilinx, Inc. Spartan-3E FPGA Family Module : Introduction Features Architectural Overview Package Marking Module 2: Configurable

More information

WINTER 14 EXAMINATION

WINTER 14 EXAMINATION Subject Code: 17320 WINTER 14 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2)

More information

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York DEPARTMENT: SUBJECT CODE AND TITLE: COURSE DESCRIPTION: REQUIRED: Electrical and Telecommunications Engineering Technology TCET 3122/TC

More information

FPGA and CPLD Architectures: A Tutorial

FPGA and CPLD Architectures: A Tutorial F I E L D - P R O G R A M M A B L E D E V I C E S FPGA and CPLD Architectures: A Tutorial RECENTLY, the development of new types of sophisticated fieldprogrammable devices (FPDs) has dramatically changed

More information

For Teacher's Use Only Q Total No. Marks. Q No Q No Q No

For Teacher's Use Only Q Total No. Marks. Q No Q No Q No FINALTERM EXAMINATION Spring 2010 CS302- Digital Logic Design (Session - 4) Time: 90 min Marks: 58 For Teacher's Use Only Q 1 2 3 4 5 6 7 8 Total No. Marks Q No. 9 10 11 12 13 14 15 16 Marks Q No. 17 18

More information

BHARATHIDASAN ENGINEERING COLLEGE, NATTRAMPALLI DEPARTMENT OF ECE

BHARATHIDASAN ENGINEERING COLLEGE, NATTRAMPALLI DEPARTMENT OF ECE BHARATHIDASAN ENGINEERING COLLEGE, NATTRAMPALLI DEPARTMENT OF ECE CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN 1 st year 2 nd semester CSE & IT Unit wise Important Part A and Part B Prepared by L.GOPINATH

More information

2 Marks Q&A. Digital Electronics. K. Michael Mahesh M.E.,MIET. Asst. Prof/ECE Dept.

2 Marks Q&A. Digital Electronics. K. Michael Mahesh M.E.,MIET. Asst. Prof/ECE Dept. 2 Marks Q&A Digital Electronics 3rd SEM CSE & IT ST. JOSEPH COLLEGE OF ENGINEERING (DMI & MMI GROUP OF INSTITUTIONS) CHENNAI- 600 117 K. Michael Mahesh M.E.,MIET. Asst. Prof/ECE Dept. K. Michael Mahesh

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION Spring 2012 Question No: 1 ( Marks: 1 ) - Please choose one A SOP expression is equal to 1

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous)

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) Subject Code: 17320 Model Answer Page 1 of 32 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the Model answer scheme. 2) The model

More information

DIGITAL FUNDAMENTALS

DIGITAL FUNDAMENTALS DIGITAL FUNDAMENTALS A SYSTEMS APPROACH THOMAS L. FLOYD PEARSON Boston Columbus Indianapolis New York San Francisco Upper Saddle River Amsterdam Cape Town Dubai London Madrid Milan Munich Paris Montreal

More information

DIGITAL ELECTRONICS MCQs

DIGITAL ELECTRONICS MCQs DIGITAL ELECTRONICS MCQs 1. A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register. A. 1 B. 2 C. 4 D. 8

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic COURSE TITLE : DIGITAL INSTRUMENTS PRINCIPLE COURSE CODE : 3075 COURSE CATEGORY : B PERIODS/WEEK : 4 PERIODS/SEMESTER : 72 CREDITS : 4 TIME SCHEDULE MODULE TOPICS PERIODS 1 Number system & Boolean algebra

More information

Lecture 2: Basic FPGA Fabric. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 2: Basic FPGA Fabric. James C. Hoe Department of ECE Carnegie Mellon University 18 643 Lecture 2: Basic FPGA Fabric James. Hoe Department of EE arnegie Mellon University 18 643 F17 L02 S1, James. Hoe, MU/EE/ALM, 2017 Housekeeping Your goal today: know enough to build a basic FPGA

More information

Good Evening! Welcome!

Good Evening! Welcome! Page 1/11 Instructions: urn off all cell phones, beepers and other noise making devices. Show all work on the front of the test papers. Box each answer. If you need more room, make a clearly indicated

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

Bachelor of Technology (Electronics and Instrumentation Engg.)

Bachelor of Technology (Electronics and Instrumentation Engg.) 1 A Project Report on Embedded processor design and Implementation of CAM In partial fulfillment of the requirements of Bachelor of Technology (Electronics and Instrumentation Engg.) Submitted By Jaswant

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

IAS0430 MICROPROCESSOR SYSTEMS

IAS0430 MICROPROCESSOR SYSTEMS IAS0430 MICROPROCESSOR SYSTEMS Fall 2018 The 2nd lecture Martin Jaanus U02-308 martin.jaanus@ttu.ee 620 2110, 56 91 31 93 Learning environment : http://isc.ttu.ee Materials : http://isc.ttu.ee/martin Topics

More information

Chapter. Sequential Circuits

Chapter. Sequential Circuits Chapter Sequential Circuits Circuits Combinational circuit The output depends only on the input Sequential circuit Has a state The output depends not only on the input but also on the state the circuit

More information

TYPICAL QUESTIONS & ANSWERS

TYPICAL QUESTIONS & ANSWERS DIGITALS ELECTRONICS TYPICAL QUESTIONS & ANSWERS OBJECTIVE TYPE QUESTIONS Each Question carries 2 marks. Choose correct or the best alternative in the following: Q.1 The NAND gate output will be low if

More information

INTEGRATED CIRCUITS. PZ macrocell CPLD. Product specification 1997 Feb 20 IC27 Data Handbook

INTEGRATED CIRCUITS. PZ macrocell CPLD. Product specification 1997 Feb 20 IC27 Data Handbook INTEGRATED CIRCUITS 1997 Feb 20 IC27 Data Handbook FEATURES Industry s first TotalCMOS PLD both CMOS design and process technologies Fast Zero Power (FZP ) design technique provides ultra-low power and

More information

Chapter 7 Counters and Registers

Chapter 7 Counters and Registers Chapter 7 Counters and Registers Chapter 7 Objectives Selected areas covered in this chapter: Operation & characteristics of synchronous and asynchronous counters. Analyzing and evaluating various types

More information

Using HERON modules with FPGAs to connect to FPDP

Using HERON modules with FPGAs to connect to FPDP HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)1278 760188, Fax: (+44) (0)1278 760199, Email: sales@hunteng.co.uk www.hunteng.co.uk www.hunt-dsp.com Using

More information

Product Obsolete/Under Obsolescence

Product Obsolete/Under Obsolescence APPLICATION NOTE 0 R Designing Flexible, Fast CAMs with Virtex Family FPGAs XAPP203, September 23, 999 (Version.) 0 8* Application Note: Jean-Louis Brelet & Bernie New Summary Content Addressable Memories

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

1 Hour Sample Test Papers: Sample Test Paper 1. Roll No.

1 Hour Sample Test Papers: Sample Test Paper 1. Roll No. 6.1.2 Sample Test Papers: Sample Test Paper 1 Roll No. Institute Name: Course Code: EJ/EN/ET/EX/EV/IC/IE/IS/MU/DE/ED/ET/IU Subject: Principles of Digital Techniques Marks: 25 1 Hour 1. All questions are

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

CHAPTER1: Digital Logic Circuits

CHAPTER1: Digital Logic Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits 1 Sequential Circuits Introduction Composed of a combinational circuit to which the memory elements are connected to form a feedback

More information

PEEL 18CV8-5/-7/-10/-15/-25 CMOS Programmable Electrically Erasable Logic Device

PEEL 18CV8-5/-7/-10/-15/-25 CMOS Programmable Electrically Erasable Logic Device PEEL 18V8-5/-7/-10/-15/-25 MOS Programmable Electrically Erasable Logic Device Multiple Speed, Power, Temperature Options Speeds ranging from 5ns to 25ns Power as low as 37mA at 25MHz ommercial and ndustrial

More information

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312 14 12 10 8 6 Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP) 0 1950 1960 1970 1980

More information

Class 06 Sequential Logic: Flip-Flop

Class 06 Sequential Logic: Flip-Flop Class 06 Sequential Logic: Flip-Flop June 16, 2017 2 Differences between Latch and Flip-Flop D latch Level trigger D flip-flop Edge trigger 1 June 16, 2017 3 Function Table of D Flip-Flop DFF D flip-flop

More information

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General...

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General... EECS150 - Digital Design Lecture 18 - Circuit Timing (2) March 17, 2010 John Wawrzynek Spring 2010 EECS150 - Lec18-timing(2) Page 1 In General... For correct operation: T τ clk Q + τ CL + τ setup for all

More information

CDA 4253 FPGA System Design FPGA Architectures. Hao Zheng Dept of Comp Sci & Eng U of South Florida

CDA 4253 FPGA System Design FPGA Architectures. Hao Zheng Dept of Comp Sci & Eng U of South Florida CDA 4253 FPGA System Design FPGA Architectures Hao Zheng Dept of Comp Sci & Eng U of South Florida FPGAs Generic Architecture Also include common fixed logic blocks for higher performance: On-chip mem.

More information

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Course Title: Digital Logic Full Marks: 60 + 0 + 0 Course No.: CSC Pass Marks:

More information