Agenda. EE 260: Introduction to Digital Design Counters and Registers. Asynchronous (Ripple) Counters. Asynchronous (Ripple) Counters

Size: px
Start display at page:

Download "Agenda. EE 260: Introduction to Digital Design Counters and Registers. Asynchronous (Ripple) Counters. Asynchronous (Ripple) Counters"

Transcription

1 EE26: igital esign, Spring 28 4/8/8 EE 26: Introduction to igital esign ounters and Registers Yao Zheng epartment of Electrical Engineering University of Hawaiʻi at Mānoa Agenda ounters Introduction: ounters Asynchronous (Ripple) ounters Asynchronous ounters with MO number < 2 n Asynchronous own ounters ascading Asynchronous ounters Synchronous (Parallel) ounters Up/own Synchronous ounters esigning Synchronous ounters ecoding A ounter ounters with Parallel Load Registers Introduction: Registers v Simple Registers v Registers with Parallel Load Using Registers to implement Sequential ircuits Shift Registers v Serial In/Serial Out Shift Registers v Serial In/Parallel Out Shift Registers v Parallel In/Serial Out Shift Registers v Parallel In/Parallel Out Shift Registers idirectional Shift Registers An Application Serial Addition Shift Register ounters v Ring ounters v ohnson ounters Random-Access Memory (RAM) Agenda Introduction: ounters ounters are circuits that cycle through a specified number of states. Two types of counters: v synchronous (parallel) counters v asynchronous (ripple) counters Ripple counters allow some flip-flop outputs to be used as a source of clock for other flipflops. Synchronous counters apply the same clock to all flip-flops. Asynchronous (Ripple) ounters Asynchronous counters: the flip-flops do not change states at exactly the same time as they do not have a common clock pulse. Also known as ripple counters, as the input clock pulse ripples through the counter cumulative delay is a drawback. n flip-flops a MO (modulus) 2 n counter. (Note: A MO-x counter cycles through x states.) Output of the last flip-flop (MS) divides the input clock frequency by the MO number of the counter, hence a counter is also a frequency divider. Asynchronous (Ripple) ounters Example: 2-bit ripple binary counter. Output of one flip-flop is connected to the clock input of the next more-significant flip-flop. L L 2 HIGH 3 4 FF FF Timing diagram... hapter : ounters and Registers

2 EE26: igital esign, Spring 28 4/8/8 Asynchronous (Ripple) ounters Example: 3-bit ripple binary counter. HIGH L FF FF FF2 2 Asynchronous (Ripple) ounters Propagation delays in an asynchronous (ripple-clocked) binary counter. If the accumulated delay is greater than the clock pulse, some counter states may be misrepresented! L L Recycles back to 2 t PLH (L to ) t PHL (L to ) t PLH ( to ) t PHL (L to ) t PHL ( to ) t PLH ( to 2) Asynchronous (Ripple) ounters Example: 4-bit ripple binary counter (negative-edge triggered). HIGH L L 2 3 FF FF FF2 2 FF Asyn. ounters with MO no. < 2 n States may be skipped resulting in a truncated sequence. Technique: force counter to recycle before going through all of the states in the binary sequence. Example: Given the following circuit, determine the counting sequence (and hence the modulus no.) All, inputs are (HIGH). A L LR L LR L LR Asyn. ounters with MO no. < 2 n Example (cont d): All, inputs are (HIGH). A L LR L LR L LR Asyn. ounters with MO no. < 2 n Example (cont d): ounting sequence of circuit (in A order). lock A NAN Output lock A NAN Output MO-6 counter produced by clearing (a MO-8 binary counter) when count of six () occurs. Temporary state ounter is a MO-6 counter. hapter : ounters and Registers 2

3 EE26: igital esign, Spring 28 4/8/8 Asyn. ounters with MO no. < 2 n Exercise: How to construct an asynchronous MO-5 counter? MO-7 counter? MO-2 counter? uestion: The following is a MO-? counter? F LR E LR LR A LR LR LR Asyn. ounters with MO no. < 2 n ecade counters (or counters) are counters with states (modulus-) in their sequence. They are commonly used in daily life (e.g.: utility meters, odometers, etc.). esign an asynchronous decade counter. HIGH A (A.)' E F All = =. L LR LR LR LR Asyn. ounters with MO no. < 2 n Asynchronous decade/ counter (cont d). HIGH A (A.)' L LR LR LR LR Asynchronous own ounters So far we are dealing with up counters. own counters, on the other hand, count downward from a maximum value to zero, and repeat. Example: A 3-bit binary (MO-2 3 ) down counter. lock A NAN output L L ' ' ' ' 2 ' 2 ' 3-bit binary up counter 3-bit binary down counter Asynchronous own ounters Example: Ax 3-bit binary (MO-8) down counter. L L ' 2 ' 3 2 ' ascading Asynchronous ounters Larger asynchronous (ripple) counter can be constructed by cascading smaller ripple counters. onnect last-stage output of one counter to the clock input of next counter so as to achieve highermodulus operation. Example: A modulus-32 ripple counter constructed from a modulus-4 counter and a modulus-8 counter. L ' ' Modulus-4 counter ' 2 ' 3 ' Modulus-8 counter 4 hapter : ounters and Registers 3

4 EE26: igital esign, Spring 28 4/8/8 ascading Asynchronous ounters Example: A 6-bit binary counter (counts from to 63) constructed from two 3-bit counters. ount pulse A A A 2 A 3 A 4 A 5 3-bit binary counter A5 A4 A3 A2 A A : : : : : : : : : 3-bit binary counter ascading Asynchronous ounters If counter is a not a binary counter, requires additional output. Example: A modulus- counter using two decade counters. freq/ TENecade TENecade counter T counter T L freq T = when counter recycles to freq/ Synchronous (Parallel) ounters Synchronous (parallel) counters: the flip-flops are clocked at the same time by a common clock pulse. We can design these counters using the sequential logic design process (covered in Lecture #2). Example: 2-bit synchronous binary counter (using T flip-flops, or flip-flops with identical, inputs). Synchronous (Parallel) ounters Example: 2-bit synchronous binary counter (using T flip-flops, or flip-flops with identical, inputs). Present Next Flip-flop state state inputs A A A + A + TA TA TA = A TA = Present Next Flip-flop state state inputs A A A + A + TA TA L A ' A ' Synchronous (Parallel) ounters Example: 3-bit synchronous binary counter (using T flip-flops, or flip-flops with identical, inputs). Present Next Flip-flop state state inputs A2 A A A2 + A + A + TA2 TA TA A A A Synchronous (Parallel) ounters Example: 3-bit synchronous binary counter (cont d). TA 2 = A.A TA = A TA = A 2 A A P A2 A2 A2 A TA2 = A.A A A TA = A TA = hapter : ounters and Registers 4

5 EE26: igital esign, Spring 28 4/8/8 Synchronous (Parallel) ounters Note that in a binary counter, the n th bit (shown underlined) is always complemented whenever or Hence, X n is complemented whenever X n- X n-2... X X =. As a result, if T flip-flops are used, then TX n = X n-. X n X. X Synchronous (Parallel) ounters Example: 4-bit synchronous binary counter. TA 3 = A 2. A. A TA 2 = A. A TA = A TA = L A ' A ' A.A A2.A.A A 2 ' A 3 ' Synchronous (Parallel) ounters Example: Synchronous decade/ counter. lock pulse 3 2 Initially (recycle) T = T = 3 '. T 2 =. T 3 = Synchronous (Parallel) ounters Example: Synchronous decade/ counter (cont d). T = T = 3 '. T 2 =. T 3 = T ' T ' T 2 T 3 ' ' L Up/own Synchronous ounters Up/down synchronous counter: a bidirectional counter that is capable of counting either up or down. An input (control) line Up/own (or simply Up) specifies the direction of counting. v Up/own = ount upward v Up/own = ount downward Up/own Synchronous ounters Example: A 3-bit up/down synchronous binary counter. lock pulse Up 2 own T = T = (.Up) + ( '.Up' ) T 2 = (..Up ) + ( '. '. Up' ) Up counter T = T = T 2 =. own counter T = T = T 2 =. hapter : ounters and Registers 5

6 EE26: igital esign, Spring 28 4/8/8 Up/own Synchronous ounters Example: A 3-bit up/down synchronous binary counter (cont d). T = T = (.Up) + ( '.Up' ) T 2 = (..Up ) + ( '. '. Up' ) Up L T ' T ' T ' 2 esigning Synchronous ounters overed in Lecture #2. Example: A 3-bit Gray code counter (using flip-flops). Present Next Flip-flop state state inputs X X X X X X X X X X X X X X X X X X X X X X X X esigning Synchronous ounters 3-bit Gray code counter: flip-flop inputs. 2 X X X X 2 =. ' 2 X X X X 2 = '. ' 2 X X X X = 2 '. 2 X X X X = 2. 2 X X X X = '. ' = ( 2 Å )' 2 X X X X = 2. ' + 2 '. = 2 Å esigning Synchronous ounters 3-bit Gray code counter: logic diagram. L 2 =. ' = 2'. = ( 2 Å )' 2 = '. ' = 2. = 2 Å ' ' ' ' ' 2 2 ' ecoding A ounter ecoding a counter involves determining which state in the sequence the counter is in. ifferentiate between active-high and active-low decoding. Active-HIGH decoding: output HIGH if the counter is in the state concerned. Active-LOW decoding: output LOW if the counter is in the state concerned. ecoding A ounter Example: MO-8 ripple counter (active-high decoding). ' ' ' ' A lock HIGH only on count of A = HIGH only on count of A = HIGH only on count of A = HIGH only on count of A = hapter : ounters and Registers 6

7 EE26: igital esign, Spring 28 4/8/8 ecoding A ounter Example: To detect that a MO-8 counter is in state () or state (). ' ' ' ' lock HIGH only on count of A = or A = Example: To detect that a MO-8 counter is in the odd states (states, 3, 5 or 7), simply use. lock HIGH only on count of odd states ounters with Parallel Load ounters could be augmented with parallel load capability for the following purposes: vto start at a different state vto count a different sequence vas more sophisticated register with increment/decrement functionality. ounters with Parallel Load ifferent ways of getting a MO-6 counter: A 4 A 3 A 2 A A 4 A 3 A 2 A ounters with Parallel Load 4-bit counter with parallel load. Load Inputs = I 4 I 3 I 2 I ount = lear = P (a) inary states,,2,3,4,5. lear I 4 I 3 I 2 I ount = Load = P Inputs have no effect (b) inary states,,2,3,4,5. lear P Load ount Function X X X lear to X No change X Load inputs Next state A 4 A 3 A 2 A A 4 A 3 A 2 A arry-out Load I 4 I 3 I 2 I ount = lear = P Load I 4 I 3 I 2 I ount = lear = P (c) inary states,,2,3,4,5. (d) inary states 3,4,5,6,7,8. Introduction: Registers An n-bit register has a group of n flip-flops and some logic gates and is capable of storing n bits of information. The flip-flops store the information while the gates control when and how new information is transferred into the register. Some functions of register: v retrieve data from register v store/load new data into register (serial or parallel) v shift the data within register (left or right) Simple Registers No external gates. Example: A 4-bit register. A new 4-bit data is loaded every clock cycle. P A 3 A 2 A A I 3 I 2 I I hapter : ounters and Registers 7

8 EE26: igital esign, Spring 28 4/8/8 Registers With Parallel Load Instead of loading the register at every clock pulse, we may want to control when to load. Loading a register: transfer new information into the register. Requires a load control input. Parallel loading: all bits are loaded simultaneously. Registers With Parallel Load Load'.A + Load. I Load A I A I A 2 I 2 A 3 I 3 L LEAR Using Registers to implement Sequential ircuits A sequential circuit may consist of a register (memory) and a combinational circuit. lock Register Inputs Next-state value ombinational circuit Outputs The external inputs and present states of the register determine the next states of the register and the external outputs, through the combinational circuit. The combinational circuit may be implemented by any of the methods covered in MSI components and Programmable Logic evices. Using Registers to implement Sequential ircuits Example : A + = S m(4,6) = A.x' A 2+ = S m(,2,5,6) = A 2.x' + A 2 '.x = A 2 Å x y = S m(3,7) = A 2.x Present Next state Input State Output A A2 x A + A2 + y A.x' A 2 Åx x A A 2 y Using Registers to implement Sequential ircuits Example 2: Repeat example, but use a ROM. Address Outputs ROM truth table x A A 2 8 x 3 ROM y Shift Registers Another function of a register, besides storage, is to provide for data movements. Each stage (flip-flop) in a shift register represents one bit of storage, and the shifting capability of a register permits the movement of data from stage to stage within the register, or into or out of the register upon application of clock pulses. hapter : ounters and Registers 8

9 EE26: igital esign, Spring 28 4/8/8 Shift Registers asic data movement in shift registers (four bits are used for illustration). ata in ata out (a) Serial in/shift right/serial out ata in ata in ata out ata in (b) Serial in/shift left/serial out ata in Serial In/Serial Out Shift Registers Accepts data serially one bit at a time and also produces output serially. Serial data input 2 3 Serial data output ata out (c) Parallel in/serial out ata out (d) Serial in/parallel out ata out (e) Parallel in / parallel out L (f) Rotate right (g) Rotate left Serial In/Serial Out Shift Registers Application: Serial transfer of data from one register to another. lock Shift control lock SI Shift register A P SO SI Shift register SO Serial In/Serial Out Shift Registers Serial-transfer example. Timing Pulse Shift register A Shift register Serial output of Initial value After T After T2 After T3 After T4 Shift control P Wordtime T T 2 T 3 T 4 Serial In/Parallel Out Shift Registers Accepts data serially. Outputs of all stages are available simultaneously. Parallel In/Serial Out Shift Registers its are entered simultaneously, but output is serial. ata input ata input SHIFT/LOA 2 3 L 2 3 ata input L SRG 4 Logic symbol Serial data 2 3 out 2 3 L SHIFT. + SHIFT'. hapter : ounters and Registers 9

10 EE26: igital esign, Spring 28 4/8/8 Parallel In/Serial Out Shift Registers its are entered simultaneously, but output is serial. ata in Parallel In/Parallel Out Shift Registers Simultaneous input and output of all data bits. Parallel data inputs SHIFT/LOA L SRG 4 Serial data out Logic symbol L 2 3 Parallel data outputs idirectional Shift Registers ata can be shifted either left or right, using a control line RIGHT/LEFT (or simply RIGHT) to indicate the direction. idirectional Shift Registers 4-bit bidirectional shift register with parallel load. Parallel outputs A 4 A 3 A 2 A RIGHT/LEFT lear Serial data in L RIGHT. + RIGHT'. 2 L 2 3 s 4x s MUX 3 2 Serial input for shift-right 4x MUX 3 2 4x MUX 3 2 I 4 I 3 I 2 I 4x MUX 3 2 Serial input for shift-left Parallel inputs idirectional Shift Registers 4-bit bidirectional shift register with parallel load. Mode ontrol s s Register Operation No change Shift right Shift left Parallel load An Application Serial Addition Most operations in digital computers are done in parallel. Serial operations are slower but require less equipment. A serial adder is shown below. A A +. Shift-right P External input SI SI Shift-register A Shift-register SO SO x y FA S z lear hapter : ounters and Registers

11 EE26: igital esign, Spring 28 4/8/8 An Application Serial Addition A = ; =. A + = is stored in A after 4 clock pulses. Initial: A: : Step : + + S =, = Step 2: + + S =, = Step 3: + + S =, = A: : x A: : x x A: : x x x : : : : Shift Register ounters Shift register counter: a shift register with the serial output connected back to the serial input. They are classified as counters because they give a specified sequence of states. Two common types: the ohnson counter and the Ring counter. Step 4: + + S =, = A: : x x x x : Ring ounters One flip-flop (stage) for each state in the sequence. The output of the last stage is connected to the input of the first stage. An n-bit ring counter cycles through n states. No decoding gates are required, as there is an output that corresponds to every state the counter is in. Ring ounters Example: A 6-bit (MO-6) ring counter. PRE LR L lock ohnson ounters The complement of the output of the last stage is connected back to the input of the first stage. Also called the twisted-ring counter. Require fewer flip-flops than ring counters but more flip-flops than binary counters. An n-bit ohnson counter cycles through 2n states. Require more decoding circuitry than ring counter but less than binary counters. ohnson ounters Example: A 4-bit (MO-8) ohnson counter. LR L lock ' 3' hapter : ounters and Registers

12 EE26: igital esign, Spring 28 4/8/8 ohnson ounters ecoding logic for a 4-bit ohnson counter. lock A ecoding.' A.' 2.' 3.' 4 A '. 7 '. ' ' State 6 State 7 ' A ' ' ' A State State State 2 State 3 State 4 State 5 A memory unit stores binary information in groups of bits called words. The data consists of n lines (for n-bit words). ata input lines provide the information to be stored (written) into the memory, while data output lines carry the information out (read) from the memory. The address consists of k lines which specify which word (among the 2 k words available) to be selected for reading or writing. The control lines Read and Write (usually combined into a single control line Read/Write) specifies the direction of transfer of the data. lock diagram of a memory unit: ontent of a 24 x 6-bit memory: n data input lines n Memory address binary decimal Memory content k address lines Read/Write k Memory unit 2 k words n bits per word n n data output lines : : 2 : : : : The Write operation: v Transfers the address of the desired word to the address lines v Transfers the data bits (the word) to be stored in memory to the data input lines v Activates the Write control line (set Read/Write to ) The Read operation: v Transfers the address of the desired word to the address lines v Activates the Read control line (set Read/Write to ) The Read/Write operation: Memory Enable Read/Write Memory Operation X None Write to selected word Read from selected word Two types of RAM: Static and dynamic. Static RAMs use flip-flops as the memory cells. ynamic RAMs use capacitor charges to represent data. Though simpler in circuitry, they have to be constantly refreshed. hapter : ounters and Registers 2

13 EE26: igital esign, Spring 28 4/8/8 A single memory cell of the static RAM has the following logic and block diagrams. Logic construction of a 4 x 3 RAM (with decoder and OR gates): Select R Select Input S Output Input Output Read/Write Logic diagram Read/Write lock diagram An array of RAM chips: memory chips are combined to form larger memory. A x 8-bit RAM chip: Input data Address hip select Read/write RAM x 8 8 ATA (8) 8 (8) Output data ARS () S RW lock diagram of a x 8 RAM chip Lines Read/write Address 2x4 decoder S S 4 x 8 RAM. Lines Input data 8 lines 23 ATA (8) (8) ARS () S x 8 RW ATA (8) (8) ARS () S x 8 RW ATA (8) (8) ARS () S x 8 RW ATA (8) (8) ARS () S x 8 RW Output data hapter : ounters and Registers 3

Sequential Logic Counters and Registers

Sequential Logic Counters and Registers Sequential Logic ounters and Registers ounters Introduction: ounters Asynchronous (Ripple) ounters Asynchronous ounters with MOD number < 2 n Asynchronous Down ounters ascading Asynchronous ounters svbitec.wordpress.com

More information

Analysis of Sequential Circuits

Analysis of Sequential Circuits NOTE: Explanation Refer lass Notes Digital ircuits(15ee23) Analysis of Sequential ircuits by Nagaraj Vannal, Asst.Professor, School of Electronics Engineering,.L.E. Technological University, Hubballi.

More information

Review of digital electronics. Storage units Sequential circuits Counters Shifters

Review of digital electronics. Storage units Sequential circuits Counters Shifters Review of digital electronics Storage units Sequential circuits ounters Shifters ounting in Binary A counter can form the same pattern of 0 s and 1 s with logic levels. The first stage in the counter represents

More information

Registers & Counters. Logic and Digital System Design - CS 303 Erkay Savaş Sabanci University

Registers & Counters. Logic and Digital System Design - CS 303 Erkay Savaş Sabanci University Registers & ounters Logic and igital System esign - S 33 Erkay Savaş Sabanci University Registers Registers like counters are clocked sequential circuits A register is a group of flip-flops Each flip-flop

More information

CHW 261: Logic Design

CHW 261: Logic Design HW 261: Logic Design Instructors: Prof. Hala Zayed Dr. Ahmed Shalaby http://www.bu.edu.eg/staff/halazayed14 http://bu.edu.eg/staff/ahmedshalaby14# Slide 1 Digital Fundamentals HAPTER Shift Registers Slide

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

Chapter 9: Shift Registers

Chapter 9: Shift Registers 樹德科技大學資訊工程系 hapter 9: Shift Registers Shi-Huang hen Fall 2010 1 Outline Basic Shift Register Functions Serial In/Serial Out Shift Registers Serial In/Parallel Out Shift Registers Parallel In/Serial Out

More information

Serial In/Serial Left/Serial Out Operation

Serial In/Serial Left/Serial Out Operation Shift Registers The need to storage binary data was discussed earlier. In digital circuits multi-bit data has to be stored temporarily until it is processed. A flip-flop is able to store a single binary

More information

CSC Computer Architecture and Organization

CSC Computer Architecture and Organization S 37 - omputer Architecture and Organization Lecture 6: Registers and ounters Registers A register is a group of flip-flops. Each flip-flop stores one bit of data; n flip-flops are required to store n

More information

Digital Fundamentals: A Systems Approach

Digital Fundamentals: A Systems Approach Digital Fundamentals: A Systems Approach Counters Chapter 8 A System: Digital Clock Digital Clock: Counter Logic Diagram Digital Clock: Hours Counter & Decoders Finite State Machines Moore machine: One

More information

Registers & Counters. BME208 Logic Circuits Yalçın İŞLER

Registers & Counters. BME208 Logic Circuits Yalçın İŞLER Registers & ounters BME28 Logic ircuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com Registers Registers are clocked sequential circuits A register is a group of flip-flops 2 Each flip-flop capable

More information

Counter dan Register

Counter dan Register Counter dan Register Introduction Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory.

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

Universal Asynchronous Receiver- Transmitter (UART)

Universal Asynchronous Receiver- Transmitter (UART) Universal Asynchronous Receiver- Transmitter (UART) (UART) Block Diagram Four-Bit Bidirectional Shift Register Shift Register Counters Shift registers can form useful counters by recirculating a pattern

More information

Registers, Register Transfers and Counters Dr. Fethullah Karabiber

Registers, Register Transfers and Counters Dr. Fethullah Karabiber 36 OMPUTER HARWARE Registers, Register Transfers and ounters r. Fethullah Karabiber Overview 2 Registers, Microoperations and Implementations Registers and load enable Register transfer operations Microoperations

More information

Chapter 7 Counters and Registers

Chapter 7 Counters and Registers Chapter 7 Counters and Registers Chapter 7 Objectives Selected areas covered in this chapter: Operation & characteristics of synchronous and asynchronous counters. Analyzing and evaluating various types

More information

Digital Logic Design ENEE x. Lecture 19

Digital Logic Design ENEE x. Lecture 19 Digital Logic Design ENEE 244-010x Lecture 19 Announcements Homework 8 due on Monday, 11/23. Agenda Last time: Timing Considerations (6.3) Master-Slave Flip-Flops (6.4) This time: Edge-Triggered Flip-Flops

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

WWW.STUDENTSFOCUS.COM + Class Subject Code Subject Prepared By Lesson Plan for Time: Lesson. No 1.CONTENT LIST: Introduction to Unit III 2. SKILLS ADDRESSED: Listening I year, 02 sem CS6201 Digital Principles

More information

Digital Systems Laboratory 3 Counters & Registers Time 4 hours

Digital Systems Laboratory 3 Counters & Registers Time 4 hours Digital Systems Laboratory 3 Counters & Registers Time 4 hours Aim: To investigate the counters and registers constructed from flip-flops. Introduction: In the previous module, you have learnt D, S-R,

More information

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers Registers Registers are a very important digital building block. A data register is used to store binary information appearing at the output of an encoding matrix.shift registers are a type of sequential

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

CHAPTER 6 COUNTERS & REGISTERS

CHAPTER 6 COUNTERS & REGISTERS CHAPTER 6 COUNTERS & REGISTERS 6.1 Asynchronous Counter 6.2 Synchronous Counter 6.3 State Machine 6.4 Basic Shift Register 6.5 Serial In/Serial Out Shift Register 6.6 Serial In/Parallel Out Shift Register

More information

Lecture 12. Amirali Baniasadi

Lecture 12. Amirali Baniasadi CENG 24 Digital Design Lecture 2 Amirali Baniasadi amirali@ece.uvic.ca This Lecture Chapter 6: Registers and Counters 2 Registers Sequential circuits are classified based in their function, e.g., registers.

More information

`COEN 312 DIGITAL SYSTEMS DESIGN - LECTURE NOTES Concordia University

`COEN 312 DIGITAL SYSTEMS DESIGN - LECTURE NOTES Concordia University `OEN 32 IGITL SYSTEMS ESIGN - LETURE NOTES oncordia University hapter 5: Synchronous Sequential Logic NOTE: For more eamples and detailed description of the material in the lecture notes, please refer

More information

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20 Advanced Devices Using a combination of gates and flip-flops, we can construct more sophisticated logical devices. These devices, while more complex, are still considered fundamental to basic logic design.

More information

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute DIGITAL TECHNICS Dr. Bálint Pődör Óbuda University, Microelectronics and Technology Institute 7. LECTURE: REGISTERS, COUNTERS AND SERIAL ARITHMETIC CIRCUITS st (Autumn) term 208/209 7. LECTURE: REGISTERS,

More information

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur SEQUENTIAL LOGIC Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur www.satish0402.weebly.com OSCILLATORS Oscillators is an amplifier which derives its input from output. Oscillators

More information

Quiz #4 Thursday, April 25, 2002, 5:30-6:45 PM

Quiz #4 Thursday, April 25, 2002, 5:30-6:45 PM Last (family) name: First (given) name: Student I.D. #: Circle section: Hu Saluja Department of Electrical and Computer Engineering University of Wisconsin - Madison ECE/CS 352 Digital System Fundamentals

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS One common requirement in digital circuits is counting, both forward and backward. Digital clocks and

More information

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters The Islamic University of Gaza Engineering Faculty Department of Computer Engineering Spring 2018 ECOM 2022 Khaleel I. Shaheen Sequential Digital Design Laboratory Manual Experiment #7 Counters Objectives

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Chapter 6. Flip-Flops and Simple Flip-Flop Applications Chapter 6 Flip-Flops and Simple Flip-Flop Applications Basic bistable element It is a circuit having two stable conditions (states). It can be used to store binary symbols. J. C. Huang, 2004 Digital Logic

More information

EKT 121/4 ELEKTRONIK DIGIT 1

EKT 121/4 ELEKTRONIK DIGIT 1 EKT 2/4 ELEKTRONIK DIGIT Kolej Universiti Kejuruteraan Utara Malaysia Sequential Logic Circuits - COUNTERS - LATCHES (review) S-R R Latch S-R R Latch Active-LOW input INPUTS OUTPUTS S R Q Q COMMENTS Q

More information

Chapter 3 Unit Combinational

Chapter 3 Unit Combinational EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Logic and Computer Design Fundamentals Chapter 3 Unit Combinational 5 Registers Logic and Design Counters Part Implementation Technology

More information

ASYNCHRONOUS SEQUENTIAL CIRCUIT CONCEPTS

ASYNCHRONOUS SEQUENTIAL CIRCUIT CONCEPTS ASYNHRONOUS SEQUENTIAL IRUIT ONEPTS Synchronous ircuit Asynchronous ircuit (a) Synchronous to Asynchronous Asynchronous ircuit Asynchronous Signals Synchronous ircuit (b) Asynchronous to Synchronous Synchronous

More information

Chapter 9 Counters. Clock Edge Output Q 2 Q 1 Q

Chapter 9 Counters. Clock Edge Output Q 2 Q 1 Q hapter 9 ounters 9. Introduction ounters are devices which have a LOK input and produce n outputs. ounters consist of flip-flops connected together in specific ways such that on each clock edge the output

More information

ASYNCHRONOUS COUNTER CIRCUITS

ASYNCHRONOUS COUNTER CIRCUITS ASYNCHRONOUS COUNTER CIRCUITS Asynchronous counters do not have a common clock that controls all the Hipflop stages. The control clock is input into the first stage, or the LSB stage of the counter. The

More information

CHAPTER1: Digital Logic Circuits

CHAPTER1: Digital Logic Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits 1 Sequential Circuits Introduction Composed of a combinational circuit to which the memory elements are connected to form a feedback

More information

Supplement 3 Asynchronous Sequential Circuit Concepts

Supplement 3 Asynchronous Sequential Circuit Concepts January 999 Supplement 3 Asynchronous Sequential ircuit oncepts EE/omp. Sci. 352 igital System Fundamentals harles R. Kime epartment of Electrical and omputer Engineering University of Wisconsin Madison

More information

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL 1. A stage in a shift register consists of (a) a latch (b) a flip-flop (c) a byte of storage (d) from bits of storage 2. To serially shift a byte of data into a shift register, there must be (a) one click

More information

Module -5 Sequential Logic Design

Module -5 Sequential Logic Design Module -5 Sequential Logic Design 5.1. Motivation: In digital circuit theory, sequential logic is a type of logic circuit whose output depends not only on the present value of its input signals but on

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

Chapter 6 Registers and Counters

Chapter 6 Registers and Counters EEA051 - Digital Logic 數位邏輯 Chapter 6 Registers and Counters 吳俊興國立高雄大學資訊工程學系 January 2006 Chapter 6 Registers and Counters 6-1 Registers 6-2 Shift Registers 6-3 Ripple Counters 6-4 Synchronous Counters

More information

Vignana Bharathi Institute of Technology UNIT 4 DLD

Vignana Bharathi Institute of Technology UNIT 4 DLD DLD UNIT IV Synchronous Sequential Circuits, Latches, Flip-flops, analysis of clocked sequential circuits, Registers, Shift registers, Ripple counters, Synchronous counters, other counters. Asynchronous

More information

Sequential Logic Circuit

Sequential Logic Circuit Prof.Manoj avedia ( 98674297 ) (urallalone@yahoo.com) ` 4 Sequential Logic ircuit hapter-4(hours : Marks: )(269 Principle of Digital Electronics) SEUENTIL LOGI IRUIT 4. Introduction to Sequential Logic

More information

LSN 12 Shift Registers

LSN 12 Shift Registers LSN 12 Shift Registers Department of Engineering Technology LSN 12 Shift Registers Digital circuits with data storage and data movement functions Storage capacity is the total number of bits of digital

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

ECE 25 Introduction to Digital Design. Chapter 5 Sequential Circuits ( ) Part 1 Storage Elements and Sequential Circuit Analysis

ECE 25 Introduction to Digital Design. Chapter 5 Sequential Circuits ( ) Part 1 Storage Elements and Sequential Circuit Analysis EE 25 Introduction to igital esign hapter 5 Sequential ircuits (5.1-5.4) Part 1 Storage Elements and Sequential ircuit Analysis Logic and omputer esign Fundamentals harles Kime & Thomas Kaminski 2008 Pearson

More information

ECE 3401 Lecture 11. Sequential Circuits

ECE 3401 Lecture 11. Sequential Circuits EE 3401 Lecture 11 Sequential ircuits Overview of Sequential ircuits Storage Elements Sequential circuits Storage elements: Latches & Flip-flops Registers and counters ircuit and System Timing Sequential

More information

Learning Outcomes. Unit 13. Sequential Logic BISTABLES, LATCHES, AND FLIP- FLOPS. I understand the difference between levelsensitive

Learning Outcomes. Unit 13. Sequential Logic BISTABLES, LATCHES, AND FLIP- FLOPS. I understand the difference between levelsensitive 1.1 1. Learning Outcomes Unit 1 I understand the difference between levelsensitive and edge-sensitive I understand how to create an edge-triggered FF from latches Sequential Logic onstructs 1. 1.4 Sequential

More information

MC9211 Computer Organization

MC9211 Computer Organization MC9211 Computer Organization Unit 2 : Combinational and Sequential Circuits Lesson2 : Sequential Circuits (KSB) (MCA) (2009-12/ODD) (2009-10/1 A&B) Coverage Lesson2 Outlines the formal procedures for the

More information

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram UNIT III INTRODUCTION In combinational logic circuits, the outputs at any instant of time depend only on the input signals present at that time. For a change in input, the output occurs immediately. Combinational

More information

Digital Fundamentals 11/2/2017. Summary. Summary. Floyd. Chapter 7. Latches

Digital Fundamentals 11/2/2017. Summary. Summary. Floyd. Chapter 7. Latches igital Fundamentals Tenth Edition Floyd hapter 7 2009 Pearson Education, Upper 2008 Pearson Saddle iver, Education N 07458. All ights eserved A latch is a temporary storage device that has two stable states

More information

Digital Fundamentals

Digital Fundamentals igital Fundamentals Tenth Edition Floyd Chapter 7 Modified by Yuttapong Jiraraksopakun Floyd, igital Fundamentals, 10 th 2008 Pearson Education ENE, KMUTT ed 2009 Summary Latches A latch is a temporary

More information

Asynchronous Counter

Asynchronous Counter Asynchronous Counter Contents: Asynchronous/Ripple Counter Propagation Delay in Ripple Counter MOD Number Synchronous/Parallel Counter 10101010101010101010101010101010101010101010101010101010101010101010101010101010

More information

VU Mobile Powered by S NO Group

VU Mobile Powered by S NO Group Question No: 1 ( Marks: 1 ) - Please choose one A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register.

More information

Contents Circuits... 1

Contents Circuits... 1 Contents Circuits... 1 Categories of Circuits... 1 Description of the operations of circuits... 2 Classification of Combinational Logic... 2 1. Adder... 3 2. Decoder:... 3 Memory Address Decoder... 5 Encoder...

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24 2065 Computer Science and Information Technology (CSc. 151) Pass Marks: 24 Time: 3 hours. Candidates are required to give their answers in their own words as for as practicable. Attempt any TWO questions:

More information

UNIT-3: SEQUENTIAL LOGIC CIRCUITS

UNIT-3: SEQUENTIAL LOGIC CIRCUITS UNIT-3: SEQUENTIAL LOGIC CIRCUITS STRUCTURE 3. Objectives 3. Introduction 3.2 Sequential Logic Circuits 3.2. NAND Latch 3.2.2 RS Flip-Flop 3.2.3 D Flip-Flop 3.2.4 JK Flip-Flop 3.2.5 Edge Triggered RS Flip-Flop

More information

Counters. ENT 263 Digital Electronics

Counters. ENT 263 Digital Electronics Counters ENT 263 Digital Electronics Objectives Describe the difference between an asynchronous and a synchronous counter Analyze counter timing diagram Analyze counter circuits Determine the sequence

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

ELTR 145 (Digital 2), section 2

ELTR 145 (Digital 2), section 2 ELTR 145 (igital 2), section 2 Recommended schedule ay 1 ay 2 ay 3 ay 4 ay 5 ay 6 Topics: ounter circuits uestions: 1 through 10 Lab Exercise: 2-bit counter from flip-flops (question 56) Topics: ounter

More information

ECE 263 Digital Systems, Fall 2015

ECE 263 Digital Systems, Fall 2015 ECE 263 Digital Systems, Fall 2015 REVIEW: FINALS MEMORY ROM, PROM, EPROM, EEPROM, FLASH RAM, DRAM, SRAM Design of a memory cell 1. Draw circuits and write 2 differences and 2 similarities between DRAM

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

Registers and Counters

Registers and Counters Registers and Counters A register is a group of flip-flops which share a common clock An n-bit register consists of a group of n flip-flops capable of storing n bits of binary information May have combinational

More information

1 Hour Sample Test Papers: Sample Test Paper 1. Roll No.

1 Hour Sample Test Papers: Sample Test Paper 1. Roll No. 6.1.2 Sample Test Papers: Sample Test Paper 1 Roll No. Institute Name: Course Code: EJ/EN/ET/EX/EV/IC/IE/IS/MU/DE/ED/ET/IU Subject: Principles of Digital Techniques Marks: 25 1 Hour 1. All questions are

More information

Part 4: Introduction to Sequential Logic. Basic Sequential structure. Positive-edge-triggered D flip-flop. Flip-flops classified by inputs

Part 4: Introduction to Sequential Logic. Basic Sequential structure. Positive-edge-triggered D flip-flop. Flip-flops classified by inputs Part 4: Introduction to Sequential Logic Basic Sequential structure There are two kinds of components in a sequential circuit: () combinational blocks (2) storage elements Combinational blocks provide

More information

Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS

Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS Sequential circuits Classification of sequential circuits: Sequential circuits may be classified as two types. 1. Synchronous sequential

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 03 February 09, 2012 Dohn Bowden 1 Today s Lecture Registers and Counters Chapter 12 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

Chapter 5 Sequential Circuits

Chapter 5 Sequential Circuits Logic and omputer esign Fundamentals hapter 5 Sequential ircuits Part - Storage Elements Part Storage Elements and Sequential ircuit Analysis harles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hyperlinks

More information

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100 MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER 2016 CS 203: Switching Theory and Logic Design Time: 3 Hrs Marks: 100 PART A ( Answer All Questions Each carries 3 Marks )

More information

UNIT 1 NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES 1. Briefly explain the stream lined method of converting binary to decimal number with example. 2. Give the Gray code for the binary number (111) 2. 3.

More information

Digital Fundamentals: A Systems Approach

Digital Fundamentals: A Systems Approach Digital Fundamentals: A Systems Approach Latches, Flip-Flops, and Timers Chapter 6 Traffic Signal Control Traffic Signal Control: State Diagram Traffic Signal Control: Block Diagram Traffic Signal Control:

More information

Scanned by CamScanner

Scanned by CamScanner NAVEEN RAJA VELCHURI DSD & Digital IC Applications Example: 2-bit asynchronous up counter: The 2-bit Asynchronous counter requires two flip-flops. Both flip-flop inputs are connected to logic 1, and initially

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Chapter 5 Sequential Systems. Introduction

Chapter 5 Sequential Systems. Introduction hapter 5 Seuential Systems Latches and Flip-flops Synchronous ounter synchronous ounter 7822 igital Logic esign @epartment of omputer Engineering U. Introduction Up to now everything has been combinational

More information

Sri Vidya College of Engineering And Technology. Virudhunagar Department of Electrical and Electronics Engineering

Sri Vidya College of Engineering And Technology. Virudhunagar Department of Electrical and Electronics Engineering Sri Vidya College of Engineering And Technology Virudhunagar 626 005 Department of Electrical and Electronics Engineering Year/ Semester/ Class : II/ III/ EEE Academic Year: 2017-2018 Subject Code/ Name:

More information

Computer Organization & Architecture Lecture #5

Computer Organization & Architecture Lecture #5 Computer Organization & Architecture Lecture #5 Shift Register A shift register is a register in which binary data can be stored and then shifted left or right when a shift signal is applied. Bits shifted

More information

Problems with D-Latch

Problems with D-Latch Problems with -Latch If changes while is true, the new value of will appear at the output. The latch is transparent. If the stored value can change state more than once during a single clock pulse, the

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

Registers. Unit 12 Registers and Counters. Registers (D Flip-Flop based) Register Transfers (example not out of text) Accumulator Registers

Registers. Unit 12 Registers and Counters. Registers (D Flip-Flop based) Register Transfers (example not out of text) Accumulator Registers Unit 2 Registers and Counters Fundamentals of Logic esign EE2369 Prof. Eric Maconald Fall Semester 23 Registers Groups of flip-flops Can contain data format can be unsigned, 2 s complement and other more

More information

ECE 545 Digital System Design with VHDL Lecture 2. Digital Logic Refresher Part B Sequential Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 2. Digital Logic Refresher Part B Sequential Logic Building Blocks ECE 545 igital System esign with VHL Lecture 2 igital Logic Refresher Part B Sequential Logic Building Blocks Lecture Roadmap Sequential Logic Sequential Logic Building Blocks Flip-Flops, Latches Registers,

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

EET2411 DIGITAL ELECTRONICS

EET2411 DIGITAL ELECTRONICS 5-8 Clocked D Flip-FlopFlop One data input. The output changes to the value of the input at either the positive going or negative going clock trigger. May be implemented with a J-K FF by tying the J input

More information

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari Sequential Circuits The combinational circuit does not use any memory. Hence the previous state of input does not have any effect on the present state of the circuit. But sequential circuit has memory

More information

WINTER 14 EXAMINATION

WINTER 14 EXAMINATION Subject Code: 17320 WINTER 14 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2)

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

PGT104 Digital Electronics. PGT104 Digital Electronics

PGT104 Digital Electronics. PGT104 Digital Electronics 1 Part 5 Latches, Flip-flop and Timers isclaimer: Most of the contents (if not all) are extracted from resources available for igital Fundamentals 10 th Edition 2 Latches A latch is a temporary storage

More information

Lecture 8: Sequential Logic

Lecture 8: Sequential Logic Lecture 8: Sequential Logic Last lecture discussed how we can use digital electronics to do combinatorial logic we designed circuits that gave an immediate output when presented with a given set of inputs

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential ircuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking locked inverters Krish hakrabarty 1 Sequential Logic FFs

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Course Title: Digital Logic Full Marks: 60 + 0 + 0 Course No.: CSC Pass Marks:

More information

UNIVERSITI TEKNOLOGI MALAYSIA

UNIVERSITI TEKNOLOGI MALAYSIA SULIT Faculty of Computing UNIVERSITI TEKNOLOGI MALAYSIA FINAL EXAMINATION SEMESTER I, 2016 / 2017 SUBJECT CODE : SUBJECT NAME : SECTION : TIME : DATE/DAY : VENUES : INSTRUCTIONS : Answer all questions

More information