Digital System Clocking: High-Performance and Low-Power Aspects. Microprocessor Examples

Size: px
Start display at page:

Download "Digital System Clocking: High-Performance and Low-Power Aspects. Microprocessor Examples"

Transcription

1 igital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, ejan M. Markovic, Nikola M. Nedovic Chapter 9: Microprocessor Examples Wiley-Interscience and IEEE Press, January 2003 Microprocessor Examples Clocking for Intel Microprocessors IA-32 Pentium Pro First IA-64 Microprocessor Pentium 4 Sun Microsystems UltraSPARC-III Clocking Clocking and CSEs Alpha Clocking: A Historical Overview Clocking and CSEs IBM Microprocessors Level-Sensitive Scan esign Examples of CSEs Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 2

2 Microprocessor Examples Clocking for Intel Microprocessors IA-32 Pentium Pro First IA-64 Microprocessor Pentium 4 Sun Microsystems UltraSPARC-III Clocking Clocking and CSEs Alpha Clocking: A Historical Overview Clocking and CSEs IBM Microprocessors Level-Sensitive Scan esign Examples of CSEs Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 3 Intel Microprocessor Features Pentium II Pentium III Pentium 4 MPR Issue June 1997 April 2000 ec 2001 Clock Speed 266 MHz 1GHz 2GHz Pipeline Stages 12/14 12/14 22/24 Transistors 7.5M 24M 42M Cache (I//L2) 16k/16K/- 16K/16K/256K 12K/8K/256K ie Size 203mm 2 106mm 2 217mm 2 IC Process 0.28µm, 4M 0.18µm, 6M 0.18µm, 6M Max Power 27W 23W 67W Source: Microprocessor Report Journal Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 4

3 IA-32 Pentium Pro Ext FB CLK Gen elay Line elay SR eskew Control elay Line elay SR Left Spine Core P Right Spine Clock distribution network with deskewing circuit (Geannopoulos and ai 1998), Copyright 1998 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 5 Adaptive eskewing Technique Equalization of two clock distribution spines by compensating for delay mismatch elay lines Phase detector Controller Result: global clock skew of only 15ps 0.25µm technology 7.5M transistors Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 6

4 IA-32 Pentium Pro In elay Line Out Load<1:15,2> Load<0:14,2> <1:15,2> <0:14,2> elay Shift Register elay shift register (Geannopoulos and ai 1998), Copyright 1998 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 7 IA-32 Pentium Pro Right Bandwidth Control elay = n Phase etector 1 Left Leads Left elay = n Phase etector 2 Right Leads Phase detector (Geannopoulos and ai 1998), Copyright 1998 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 8

5 First IA-64 Microprocessor PLL RCs PLL Core Clock Reference Clock eskew Cluster Clock distribution topology (Rusu and Tam 2000), Copyright 2000 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 9 Programmable eskew Units Strategy similar to that in IA-32 External differential clock System bus frequency PLL generates internal clock 2x frequency Clock distribution architecture Balanced global clock tree Multiple deskew buffers Multiple local clock buffers Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 10

6 First IA-64 Microprocessor Global Clock TAP Interface Reference Clock Phase etector eskew Buffer igital Filter Control FSM eskew Settings RC Regional Clock Grid RC Regional Feedback Clock eskew buffer architecture (Rusu and Tam 2000), Copyright 2000 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 11 First IA-64 Microprocessor Input Output Enable elay Control Register igitally controlled delay line (Rusu and Tam 2000), Copyright 2000 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 12

7 First IA-64 Microprocessor Simulated regional clock-grid skew (Rusu and Tam 2000), Copyright 2000 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 13 First IA-64 Microprocessor Measured regional clock skew (Rusu and Tam 2000), Copyright 2000 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 14

8 Pentium 4 1x- enable clock enable distribution & sync clock enable generator clock enable distribution & sync 2x- enables addr. bus outbound clocks MACRO MACRO bus clock bus clock# Core PLL I/O PLL core distribution I/O data distribution core clock data bus outbound clocks core clock I/O feedback clock divide by 4 data from core data clock outbound deskew state machine MSFF data data to core inbound buffers input buffer MSFF core clock inbound latching clocks inbound clocks gen state machine strobe glitch protection and detection input buffers strobes Core and I/O clock generation (Kurd et al. 2001), Copyright 2001 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 15 Multi-GHz Clock Network in Pentium 4 Three core and three I/O frequencies (total 6 frequencies running concurrently) ifferential off-chip reference clock PLL synthesizes core and I/O clocks Global core clock distribution 47 independent clock domains Each domain has 5-bit deskew control register Clock skew < 20ps Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 16

9 Pentium 4 To Test Access Port PLL 3 3-stage binary tree of clock repeaters omain Buffer 1 omain Buffer 2 omain Buffer 3 Phase etector Phase etector Local Clock Macro Local Clock Macro Local Clock Macro Sequential Elements Sequential Elements Sequential Elements omain Buffer 46 omain Buffer 47 Phase etector Phase etector Local Clock Macro Local Clock Macro Sequential Elements Sequential Elements Logical diagram of core clock distribution (Kurd et al. 2001), Copyright 2001 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 17 Stretch 1 Stretch 0 Enable 1 Enable 2 Gclk Adjustable elay Buffer Pentium 4 medium freq. pulse clk phase 1 Stretch 1 Stretch 0 Enable 1 Enable 2 Gclk Stretch 1 Stretch 0 Enable 1 Enable 2 Gclk Stretch 1 Stretch 1 Stretch 0 Stretch 0 Enable 1 Enable 1 SlowSync Enable 2 Gclk Gclk Buf Type 1 Buf Type 1 medium freq. pulse clk phase 2 slow freq. pulse clk phase 1 Buf Type 1 Enable Gclk Buf Type 3 medium freq. normal clk phase 1 Stretch 1 Stretch 0 Enable 1 Adjustable elay Buffer fast freq. pulse clk Enable 2 Gclk Buf Type 2 Example of local clock buffers generating various frequency, phase and types of clocks (Kurd et al. 2001), Copyright 2001 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 18

10 Intel Clocking: Summary Increasing clock speeds and die size Balancing the clock skew in large designs using simple RC trees is becoming less effective Insertion delay 7-8FO4 due to increased die Comparable to the clock period Clock skew control has been getting harder to due to increased PVT variations Inductive effects at multi-ghz rates Use of active deskewing circuits Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 19 Microprocessor Examples Clocking for Intel Microprocessors IA-32 Pentium Pro First IA-64 Microprocessor Pentium 4 Sun Microsystems UltraSPARC-III Clocking Clocking and CSEs Alpha Clocking: A Historical Overview Clocking and CSEs IBM Microprocessors Level-Sensitive Scan esign Examples of CSEs Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 20

11 UltraSPARC Family Characteristics UltraSPARC-I UltraSPARC-II UltraSPARC-III Year Architecture SPARC V9, 4-issue SPARC V9, 4-issue SPARC V9, 4-issue ie size 17.7x17.8mm x12.5mm 2 15x15.5mm 2 # of transistors 5.2M 5.4M 23M Clock Frequency 167MHz 330MHz 1GHz Supply voltage 3.3V 2.5V 1.6V Process 0.5µm CMOS 0.35µm CMOS 0.15µm CMOS Metal layers 4 (Al) 5 (Al) 7 (Al) Power consumption <30W <30W <80W Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 21 UltraSPARC-III: Clocking Performance-driven high-power clock distribution Eight logic gates per cycle High-speed semi-dynamic flip-flops with logic embedding Large hold time mandates use of advanced tools for fixing fast-path violations Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 22

12 UltraSPARC-III : Clocking Clock distribution delay in UltraSPARC-III (Heald et al. 2000), Copyright 2000 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 23 UltraSPARC-III: Clock Storage Elements M P1 M N3 NAN S 1 Inv 4 M N5 M P2 Inv 5 M N2 Inv 2 Inv3 Inv 6 Inv 1 M N1 M N4 Semidynamic flip-flop (Klass 1998), Copyright 1998 IEEE Single-ended dynamic structure with use of keepers for static operation and use of clock pulsing Positive feedback (NAN) improves low-to-high setup time Fast, at the price of high internal and clock power Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 24

13 UltraSPARC-III: Clock Storage Elements 1 M P1 M N3 S NAN Inv4 M P2 Inv 5 1 M P1 M N3 M N2a NAN M N2c 1 S M P2 Inv 5 Inv4 M Inv N5 Inv NMOS network Inv 3 M N5 Inv 6 2 M N2b M N2d 2 M N4 N M N4 M N1 M N1 Inv 1 Inv 2 Inv 1 Inv 2 Logic embedding in a semi-dynamic flip-flop Two-input XOR function (Klass, 1998), Copyright 1998 IEEE A non-inverting logic function can be embedded by replacing the input transistor with an n-mos logic network Necessary for fitting 8 logic stages in cycle time, also used for scan Complexity of embedded logic limited by the n-mos stack depth Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 25 UltraSPARC-III: Clock Storage Elements M P1 M P1 M P2 M P4 M P3 S Inv 5 Inv 5 S R Inv 6 Inv 4 M N3 M N6 M N3 M N5 NAN Inv 1-2 Inv 3-4 M N7 M N2 Inv 3 M N2 M N4 M N1 Inv 1 Inv 2 M N1 Single-ended dynamic SFF ifferential dynamic SFF (Klass, 1998), Copyright 1998 IEEE ynamic version of SFF used in dynamic logic paths Outputs exercise precharge-evaluate sequence to ensure monotonicity Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 26

14 UltraSPARC-III: Clock Storage Elements M P3 M P1 M P4 M P6 M P2 M N3 NAN S M N6 M P5 M P7 M N7 Inv 5 Inv 4 M N2 Inv 2 M N4 Inv3 Inv 1 M N1 M N5 UltraSPARC-III flip-flop (Heald et al. 2000), Copyright 2000 IEEE Final UltraSPARC-III flip-flop modified by decoupling keepers to increase immunity to α-particles Somewhat degraded speed and logic embedding property Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 27 Microprocessor Examples Clocking for Intel Microprocessors IA-32 Pentium Pro First IA-64 Microprocessor Pentium 4 Sun Microsystems UltraSPARC-III Clocking Clocking and CSEs Alpha Clocking: A Historical Overview Clocking and CSEs IBM Microprocessors Level-Sensitive Scan esign Examples of CSEs Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 28

15 Alpha Microprocessor Features # transistors [M] ie Size [mm 2 ] 16.8x x x x18.8 Process Supply [V] 0.75µm µm µm µm 1.5 Power [W] Freq. [MHz] Gates/Cycle Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 29 Alpha Microprocessors: Clocking clock grid (a) (b) (c) Alpha microprocessor final clock driver location: (a) 21064, (b) 21164, (c) Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 30

16 Alpha Microprocessors: Clocking clock skew (Gronowski et al. 1998), Copyright 1998 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 31 Alpha Microprocessors: Clocking clock skew (Gronowski et al. 1998), Copyright 1998 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 32

17 Alpha Microprocessors: Clocking ext. clk PLL GCLK Grid local clk Box Grid local clk cond cond. local clk cond cond. local clk clock hierarchy (Gronowski et al. 1998), Copyright 1998 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 33 Alpha Microprocessors: Clocking clock skew (Gronowski et al. 1998), Copyright 1998 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 34

18 Alpha Microprocessors: Clocking NCLK LL LL LL GCLK grid L2L L2R major clock domains (Xanthopoulos et al. 2001), Copyright 2001 Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 35 Alpha Microprocessors: Clocking 21364, NCLK clock skew (Xanthopoulos et al. 2001), Copyright 2001 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 36

19 Alpha µp: Clock Storage Elements P 1 P 1 N 3 P 5 X P 2 N 4 P 3 X P 2 P 4 N1 N2 N1 N2 N modified TSPC latches (Gronowski et al. 1998), Copyright 1998 Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 37 Alpha µp: Clock Storage Elements X X (a) (b) 21164: (a) phase-a latch, (b) phase-b latch (Gronowski et al. 1998), Copyright 1998 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 38

20 Alpha µp: Clock Storage Elements X1 2 X 3 4 X2 (a) Embedding of logic into a latch: (a) TSPC latch, one level of logic; (b) latch, two levels of logic. (Gronowski et al. 1998), Copyright 1998 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 39 (b) Alpha µp: Clock Storage Elements flip-flop (Gronowski et al. 1998), Copyright 1998 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 40

21 Alpha Microprocessors: Timing Logic Logic R R GCLK Critical Path efinition and Criteria - Identify common clock, and R -Maximize - Minimize R +U R T cycle GCLK Race efinition and Criteria - Identify common clock, and R - Minimize -Maximize R R+H cond Critical-path and race analysis for clock buffering and conditioning (Gronowski et al. 1998), Copyright 1998 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 41 Microprocessor Examples Clocking for Intel Microprocessors IA-32 Pentium Pro First IA-64 Microprocessor Pentium 4 Sun Microsystems UltraSPARC-III Clocking Clocking and CSEs Alpha Clocking: A Historical Overview Clocking and CSEs IBM Microprocessors Level-Sensitive Scan esign Examples of CSEs Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 42

22 Hazard-Free Level-Sensitive Polarity-Hold Latch +Clock ata Out -Clock Eichelberger 1983 Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 43 General LSS Configuration Inputs (X) Combinational Logic Outputs (Y) Y=Y(X, S n ) Clocked Storage Elements Scan-Out Clock Present State Next State S S Scan-Out n+1 n S n+1 = f {S n, X} Scan-In Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 44

23 LSS Shift Register Latch L 1 Latch -Scan_In -L 1 +L 1 L 2 Latch -ata -L 2 +A +L 2 -C +B Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 45 LSS ouble Latch esign State S n Primary Outputs Z X 1 L1 L2 X 2 L1 L2 Primary Inputs X Combinational Logic X 3 L1 L2 S n X n L1 L2 C 1 A Shift Scan In B Shift or Scan In Scan Out Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 46

24 IBM S/390 Parallel Server Processor CLKG B_CLK A_CLK CLKL SCAN_IN L1 L2 CLK_ENABLE CLKG SELECT_N IN_A IN_B (SCAN_OUT) SELECT_A CLKL TEST_ISABLE LSS SRL with multiplexer used in the IBM S/390 G4 processor (Sigal et al. 1997), reproduced by permission Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 47 IBM S/390 Parallel Server Processor B_CLK A_CLK SCAN_IN IN_A IN_B IN_C IN_M IN_N mux_a mux_m_n (SCAN_OUT) SELECT_N CLKL SELECT_A TEST_ISABLE Static multiplexer version of the SRL used in the IBM S/390 G4 (Sigal et al. 1997), reproduced by permission Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 48

25 IBM S/390 Parallel Server Processor CLKG C1 C2 A_CLK SCAN_IN IN L1 L2 (SCAN_OUT) B_CLK CLKG C2_ENABLE C2 C1_ISABLE C1 A clocked storage element is used in the non-timing-critical timing macros of the IBM S/390 G4 processor (Sigal et al. 1997), reproduced by permission Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 49 IBM S/390 Parallel Server Processor CLKG C1 B_CLK CLKG C2_ENABLE UNOVERLAP C2 C2 C1_ISABLE C1 The clock-generation element used to detect problems created with fast paths: IBM S/390 G4 processor (Sigal et al. 1997), reproduced by permission Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 50

26 IBM PowerPC Processor SCAN_GATE SG SEL_EXT i NCLK (a) SEL i CLK OT SEL 0 SEL n-1 CLK SO 0 n-1 CLK True Mux CLK Slave Latch OC SEL 0 SEL n-1 SR Master Latch Complement Mux The experimental IBM PowerPC processor (Silberman et al. 1998), reproduced by permission Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 51 (b) IBM PowerPC 603: Master-Slave Latch ACLK V SCAN in C 2 ACLK in C 1 C 2 out C 1 C 2 ACLK The PowerPC 603 MSL (Gerosa et al. 1994), Copyright 1994 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 52

27 IBM PowerPC 603: Local Generator C1_FREEZE C1_TEST SCAN_C1 GCLK ACLK C1 WAITCLK OVERRIE C2 C2_TEST C2_FREEZE The PowerPC 603 local clock regenerator (Gerosa et al. 1994), Copyright 1994 IEEE Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 53 Summary Intel Microprocessors Active clock deskewing in Pentium processors Sun Microsystems Processors Semidynamic flip-flop (one of the fastest single-ended flip-flops today, soft-edge ) Alpha Processors Performance leader in the 90s Incorporating logic into CSEs IBM Processors esign for testability techniques Low-power champion PowerPC 603 Nov. 14, 2003 igital System Clocking: Oklobdzija, Stojanovic, Markovic, Nedovic 54

Digital System Clocking: High-Performance and Low-Power Aspects

Digital System Clocking: High-Performance and Low-Power Aspects Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Chapter 9: Microprocessor Examples Wiley-Interscience and

More information

Clock Generation and Distribution for High-Performance Processors

Clock Generation and Distribution for High-Performance Processors Clock Generation and Distribution for High-Performance Processors Stefan Rusu Senior Principal Engineer Enterprise Microprocessor Division Intel Corporation stefan.rusu@intel.com Outline Clock Distribution

More information

Digital System Clocking: High-Performance and Low-Power Aspects

Digital System Clocking: High-Performance and Low-Power Aspects igital ystem Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. tojanovic, ejan M. Markovic, Nikola M. Nedovic Chapter 8: tate-of-the-art Clocked torage Elements in CMO Technology

More information

Lecture 21: Sequential Circuits. Review: Timing Definitions

Lecture 21: Sequential Circuits. Review: Timing Definitions Lecture 21: Sequential Circuits Setup and Hold time MS FF Power PC Pulsed FF HLFF, SFF, SAFF Source: Ch 7 J. Rabaey notes, Weste and Harris Notes Review: Timing efinitions T C : Propagation elay from Ck

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 25: Sequential Logic: Flip-flop Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: pzarkesh.unm.edu Slide: 1 Review of Last

More information

EE-382M VLSI II FLIP-FLOPS

EE-382M VLSI II FLIP-FLOPS EE-382M VLSI II FLIP-FLOPS Gian Gerosa, Intel Fall 2008 EE 382M Class Notes Page # 1 / 31 OUTLINE Trends LATCH Operation FLOP Timing Diagrams & Characterization Transfer-Gate Master-Slave FLIP-FLOP Merged

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced igital Integrated Circuits Lecture 24: Advanced Flip-Flops Synchronization Announcements Homework 5 due on 4/26 Final exam on May 8 in class Project presentations on May 3,

More information

Lecture 6. Clocked Elements

Lecture 6. Clocked Elements Lecture 6 Clocked Elements Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2006 Mark Horowitz, Ron Ho Some material taken from lecture notes by Vladimir Stojanovic and Ken

More information

EE241 - Spring 2005 Advanced Digital Integrated Circuits

EE241 - Spring 2005 Advanced Digital Integrated Circuits EE241 - Spring 2005 Advanced Digital Integrated Circuits Lecture 21: Asynchronous Design Synchronization Clock Distribution Self-Timed Pipelined Datapath Req Ack HS Req Ack HS Req Ack HS Req Ack Start

More information

Clocking Spring /18/05

Clocking Spring /18/05 ing L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle L06 s 2 igital Systems Timing Conventions All digital systems need a convention

More information

II. ANALYSIS I. INTRODUCTION

II. ANALYSIS I. INTRODUCTION Characterizing Dynamic and Leakage Power Behavior in Flip-Flops R. Ramanarayanan, N. Vijaykrishnan and M. J. Irwin Dept. of Computer Science and Engineering Pennsylvania State University, PA 1682 Abstract

More information

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 19.5 A Clock Skew Absorbing Flip-Flop Nikola Nedovic 1,2, Vojin G. Oklobdzija 2, William W. Walker 1 1 Fujitsu Laboratories of America,

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential ircuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking locked inverters Krish hakrabarty 1 Sequential Logic FFs

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang System IC esign: Timing Issues and FT Hung-Chih Chiang Outline SoC Timing Issues Timing terminologies Synchronous vs. asynchronous design Interfaces and timing closure Clocking issues Reset esign for Testability

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential Circuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking Clocked inverters James Morizio 1 Sequential Logic FFs

More information

Lecture 26: Multipliers. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory

Lecture 26: Multipliers. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory EE241 - Spring 2008 Advanced Digital Integrated Circuits Lecture 26: Multipliers Latches Announcements Homework 5 Due today Wrapping-up the class: Final presentations May 8, 1-5pm, BWRC Final reports due

More information

A Unified Approach in the Analysis of Latches and Flip-Flops for Low-Power Systems

A Unified Approach in the Analysis of Latches and Flip-Flops for Low-Power Systems A Unified Approach in the Analysis of Latches and Flip-Flops for Low-Power Systems Vladimir Stojanovic University of Belgrade, Yugoslavia Bulevar Revolucije 73.Beograd, Yugoslavia +38 3 336 sv793d@kiklop.etf.bg.ac.yu

More information

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking.

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking. EE141-Fall 2011 Digital Integrated Circuits Lecture 2 Clock, I/O Timing 1 4 Administrative Stuff Pipelining Project Phase 4 due on Monday, Nov. 21, 10am Homework 9 Due Thursday, December 1 Visit to Intel

More information

Clocked Storage Elements in High-Performance and Low-Power Systems. Further reproduction without written permission is strictly prohibited.

Clocked Storage Elements in High-Performance and Low-Power Systems. Further reproduction without written permission is strictly prohibited. Clocked Storage Elements in High-Performance and Low-Power Systems Class notes for EEC280 Further reproduction without written permission is strictly prohibited. Vojin G. Oklobdzija espite the simple outward

More information

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs ECEN454 igital Integrated Circuit esign Sequential Circuits ECEN 454 Combinational logic Sequencing Output depends on current inputs Sequential logic Output depends on current and previous inputs Requires

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science MASSACHUSETTS INSTITUTE OF TECHNOLOGY epartment of Electrical Engineering and Computer Science 6.374: Analysis and esign of igital Integrated Circuits Problem Set # 5 Fall 2003 Issued: 10/28/03 ue: 11/12/03

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang Wireless Information Transmission System Lab. System IC esign: Timing Issues and FT Hung-Chih Chiang Institute of Communications Engineering National Sun Yat-sen University SoC Timing Issues Outline Timing

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Topic 8. Sequential Circuits 1

Topic 8. Sequential Circuits 1 Topic 8 Sequential Circuits 1 Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Rabaey Chapter 7 URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk 1 Based on

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

Lecture 11: Sequential Circuit Design

Lecture 11: Sequential Circuit Design Lecture 11: Sequential Circuit esign Outline q Sequencing q Sequencing Element esign q Max and Min-elay q Clock Skew q Time Borrowing q Two-Phase Clocking 2 Sequencing q Combinational logic output depends

More information

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm Akhilesh Tiwari1 and Shyam Akashe2 1Research Scholar, ITM University, Gwalior, India antrixman75@gmail.com 2Associate

More information

Energy-Delay Space Analysis for Clocked Storage Elements Under Process Variations

Energy-Delay Space Analysis for Clocked Storage Elements Under Process Variations Energy-Delay Space Analysis for Clocked Storage Elements Under Process Variations Christophe Giacomotto 1, Nikola Nedovic 2, and Vojin G. Oklobdzija 1 1 Advanced Computer Systems Engineering Laboratory,

More information

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP R.Ramya 1, P.Pavithra 2, T. Marutharaj 3 1, 2 PG Scholar, 3 Assistant Professor Theni Kammavar Sangam College of Technology, Theni, Tamil

More information

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN Part A (2 Marks) 1. What is a BiCMOS? BiCMOS is a type of integrated circuit that uses both bipolar and CMOS technologies. 2. What are the problems

More information

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General...

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General... EECS150 - Digital Design Lecture 18 - Circuit Timing (2) March 17, 2010 John Wawrzynek Spring 2010 EECS150 - Lec18-timing(2) Page 1 In General... For correct operation: T τ clk Q + τ CL + τ setup for all

More information

Lecture 10: Sequential Circuits

Lecture 10: Sequential Circuits Introduction to CMOS VLSI esign Lecture 10: Sequential Circuits avid Harris Harvey Mudd College Spring 2004 1 Outline Floorplanning Sequencing Sequencing Element esign Max and Min-elay Clock Skew Time

More information

Chapter 7 Sequential Circuits

Chapter 7 Sequential Circuits Chapter 7 Sequential Circuits Jin-Fu Li Advanced Reliable Systems (ARES) Lab. epartment of Electrical Engineering National Central University Jungli, Taiwan Outline Latches & Registers Sequencing Timing

More information

Hardware Design I Chap. 5 Memory elements

Hardware Design I Chap. 5 Memory elements Hardware Design I Chap. 5 Memory elements E-mail: shimada@is.naist.jp Why memory is required? To hold data which will be processed with designed hardware (for storage) Main memory, cache, register, and

More information

EE 447/547 VLSI Design. Lecture 9: Sequential Circuits. VLSI Design EE 447/547 Sequential circuits 1

EE 447/547 VLSI Design. Lecture 9: Sequential Circuits. VLSI Design EE 447/547 Sequential circuits 1 EE 447/547 VLSI esign Lecture 9: Sequential Circuits Sequential circuits 1 Outline Floorplanning Sequencing Sequencing Element esign Max and Min-elay Clock Skew Time Borrowing Two-Phase Clocking Sequential

More information

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF) AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF) S.Santhoshkumar, L.Saranya 2 (UG Scholar, Dept.of.ECE, Christ the king Engineering college, Tamilnadu, India, santhosh29ece@gmail.com) 2 (Asst. Professor,

More information

FLIP-FLOPS and latches, which we collectively refer to as

FLIP-FLOPS and latches, which we collectively refer to as 1294 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 8, AUGUST 2004 A Test Circuit for Measurement of Clocked Storage Element Characteristics Nikola Nedovic, Member, IEEE, William W. Walker, Member,

More information

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1 Sequential Logic E&CE 223 igital Circuits and Systems (A. Kennings) Page 1 Sequential Circuits Have considered only combinational circuits in which circuit outputs are determined entirely by current circuit

More information

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Matthew Cooke, Hamid Mahmoodi-Meimand, Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 Lecture 9: TX Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Next

More information

Comparative study on low-power high-performance standard-cell flip-flops

Comparative study on low-power high-performance standard-cell flip-flops Comparative study on low-power high-performance standard-cell flip-flops S. Tahmasbi Oskuii, A. Alvandpour Electronic Devices, Linköping University, Linköping, Sweden ABSTRACT This paper explores the energy-delay

More information

CPE/EE 427, CPE 527 VLSI Design I Sequential Circuits. Sequencing

CPE/EE 427, CPE 527 VLSI Design I Sequential Circuits. Sequencing CPE/EE 427, CPE 527 VLSI esign I Sequential Circuits epartment of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) Combinational

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

An efficient Sense amplifier based Flip-Flop design

An efficient Sense amplifier based Flip-Flop design An efficient Sense amplifier based Flip-Flop design Rajendra Prasad and Narayan Krishan Vyas Abstract An efficient approach for sense amplifier based flip-flop design has been introduced in this paper.

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS * SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEUENTIAL CIRCUITS * Wu Xunwei (Department of Electronic Engineering Hangzhou University Hangzhou 328) ing Wu Massoud Pedram (Department of Electrical

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) How to save a few bucks at toll booths 5) Edge-triggered Registers L13 Memory 1 General Table Lookup Synthesis

More information

Lecture 1: Intro to CMOS Circuits

Lecture 1: Intro to CMOS Circuits Introduction to CMOS VLSI esign Lecture : Intro to CMOS Circuits avid Harris Steven Levitan Fall 28 Harvey Mudd College Spring 24 Outline A Brief History CMOS Gate esign Pass Transistors CMOS Latches &

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 281: igital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers CprE 281: igital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev Administrative

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Improved Sense-Amplifier-Based Flip-Flop: Design and Measurements

Improved Sense-Amplifier-Based Flip-Flop: Design and Measurements 876 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 35, NO. 6, JUNE 2000 Improved Sense-Amplifier-Based Flip-Flop: Design and Measurements Borivoje Nikolić, Member, IEEE, Vojin G. Oklobdžija, Fellow, IEEE,

More information

COMP2611: Computer Organization. Introduction to Digital Logic

COMP2611: Computer Organization. Introduction to Digital Logic 1 COMP2611: Computer Organization Sequential Logic Time 2 Till now, we have essentially ignored the issue of time. We assume digital circuits: Perform their computations instantaneously Stateless: once

More information

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock. Topics! Memory elements.! Basics of sequential machines. Memory elements! Stores a value as controlled by clock.! May have load signal, etc.! In CMOS, memory is created by:! capacitance (dynamic);! feedback

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

Introduction to Digital Logic Missouri S&T University CPE 2210 Flip-Flops

Introduction to Digital Logic Missouri S&T University CPE 2210 Flip-Flops Introduction to igital Logic Missouri S&T University CPE 2210 Flip-Flops Egemen K. Çetinkaya Egemen K. Çetinkaya epartment of Electrical & Computer Engineering Missouri University of Science and Technology

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #9: Sequential Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Review: Static CMOS Logic Finish Static CMOS transient analysis Sequential

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

Lecture 1: Circuits & Layout

Lecture 1: Circuits & Layout Lecture 1: Circuits & Layout Outline A Brief History CMOS Gate esign Pass Transistors CMOS Latches & Flip-Flops Standard Cell Layouts Stick iagrams 2 A Brief History 1958: First integrated circuit Flip-flop

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

Based on slides/material by. Topic Testing. Logic Verification. Testing

Based on slides/material by. Topic Testing. Logic Verification. Testing Based on slides/material by Topic 4 K. Masselos http://cas.ee.ic.ac.uk/~kostas J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html igital Integrated Circuits: A esign Perspective, Prentice

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

International Journal of Engineering Research in Electronics and Communication Engineering (IJERECE) Vol 1, Issue 6, June 2015 I.

International Journal of Engineering Research in Electronics and Communication Engineering (IJERECE) Vol 1, Issue 6, June 2015 I. Low Power Dual Dynamic Node Pulsed Hybrid Flip-Flop Using Power Gating Techniques [1] Shaik Abdul Khadar, [2] P.Hareesh, [1] PG scholar VLSI Design Dept of E.C.E., Sir C R Reddy College of Engineering

More information

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Logic Devices for Interfacing, The 8085 MPU Lecture 4 Logic Devices for Interfacing, The 8085 MPU Lecture 4 1 Logic Devices for Interfacing Tri-State devices Buffer Bidirectional Buffer Decoder Encoder D Flip Flop :Latch and Clocked 2 Tri-state Logic Outputs

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Synchronous Digital Logic Systems. Review of Digital Logic. Philosophy. Combinational Logic. A Full Adder. Combinational Logic

Synchronous Digital Logic Systems. Review of Digital Logic. Philosophy. Combinational Logic. A Full Adder. Combinational Logic Synchronous igital Logic Systems Review of igital Logic Prof. Stephen. Edwards Raw materials: MOS transistors and wires on Is Wires are excellent conveyors of voltage Little leakage Fast, but not instantaneous

More information

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle modified by L.Aamodt 1 Outline 1. 2. 3. 4. 5. 6. 7. 8. Overview on sequential circuits Synchronous circuits Danger of synthesizing asynchronous circuit Inference of

More information

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 J. M. Bussat 1, G. Bohner 1, O. Rossetto 2, D. Dzahini 2, J. Lecoq 1, J. Pouxe 2, J. Colas 1, (1) L. A. P. P. Annecy-le-vieux, France (2) I. S. N. Grenoble,

More information

Introduction to Sequential Circuits

Introduction to Sequential Circuits Introduction to Sequential Circuits COE 202 Digital Logic Design Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals Presentation Outline Introduction to Sequential Circuits Synchronous

More information

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION S. Karpagambal 1 and M. S. Thaen Malar 2 1 VLSI Design, Sona College of Technology, Salem, India 2 Department of Electronics and Communication

More information

Power Distribution and Clock Design

Power Distribution and Clock Design Lecture 3 Power Distribution and Clock Design R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Overview of Lecture Power distribution in the past was a fairly simple task Goal of power

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 281: igital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers CprE 281: igital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev Administrative

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

CMOS Latches and Flip-Flops

CMOS Latches and Flip-Flops CMOS Latches and Flip-Flops João Canas Ferreira University of Porto Faculty of Engineering 2016-05-04 Topics 1 General Aspects 2 Circuits based on positive feedback 3 Circuits based on charge storage João

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 281: igital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers CprE 281: igital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev Administrative

More information

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Course Number: ECE 533 Spring 2013 University of Tennessee Knoxville Instructor: Dr. Syed Kamrul Islam Prepared by

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique Sanjay Singh, S.K. Singh, Mahesh Kumar Singh, Raj Kumar Sagar Abstract As the density and operating speed of CMOS VLSI

More information

Embedded Logic Flip-Flops: A Conceptual Review

Embedded Logic Flip-Flops: A Conceptual Review Volume-6, Issue-1, January-February-2016 International Journal of Engineering and Management Research Page Number: 577-581 Embedded Logic Flip-Flops: A Conceptual Review Sudhanshu Janwadkar 1, Dr. Mahesh

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Future of Analog Design and Upcoming Challenges in Nanometer CMOS Future of Analog Design and Upcoming Challenges in Nanometer CMOS Greg Taylor VLSI Design 2010 Outline Introduction Logic processing trends Analog design trends Analog design challenge Approaches Conclusion

More information

SEQUENTIAL CIRCUITS SEQUENTIAL CIRCUITS

SEQUENTIAL CIRCUITS SEQUENTIAL CIRCUITS SEUENTIAL CIRCUITS SEUENTIAL CIRCUITS Circuits With Storage ig Cir p. 177 Revised; January 13, 2005 Slide 89 SEUENTIAL CIRCUITS Sequential Circuits These are the Interesting Circuits They can remember.

More information

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic K.Vajida Tabasum, K.Chandra Shekhar Abstract-In this paper we introduce a new high performance dynamic hybrid

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology 1 S.MANIKANTA, PG Scholar in VLSI System Design, 2 A.M. GUNA SEKHAR Assoc. Professor, HOD,

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP 1 Chapter Overview Latches Gated Latches Edge-triggered flip-flops Master-slave flip-flops Flip-flop operating characteristics Flip-flop applications

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 12: Divider Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Divider Basics Dynamic CMOS

More information

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique Don P John (School of Electrical Sciences, Karunya University, Coimbatore ABSTRACT Frequency synthesizer is one of the important element for

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Lecture material adapted from R. Katz, G. Borriello, Contemporary Logic esign (second edition), Prentice-Hall/Pearson

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information