Chapter 8 Registers & Counters

Size: px
Start display at page:

Download "Chapter 8 Registers & Counters"

Transcription

1 Chapter 8 Registers & Counters 8.1 Introduction Register is a type of sequential circuit used to store binary information or to manipulate the binary information which consists of flip-flops and combinational circuit (optional). If there is n flip-flop in a register it can store n bits. The combinational circuit determines some data processing tasks (how the data is transferred in registers). The main function of the register is to store binary numbers. The data in one flipflop is required for another flip-flop (ie the output of one flip flop is input to other flip flop).in such cases the shift register is used. Shift register is also used in arithmetic operations. In shift register moving a binary number one bit to the left is equivalent to multiplying the number by 2 and moving the binary number one bit to the right is equivalent to dividing the number by Shift Register (1) Left shift Register In left shift register the data is shifted from right to left direction. It consists of serial input and serial output. Let assume at initial stage the data in all flip flop is 0. If the data 1111 is given to left shift register, after four clock pulse the data is stored in the flip flop. At fifth clock pulse the output is 1. Serial out Serial in 1111 FIG 8.1 Left shift register after four clock pulse (2) Right shift Register In Right shift register the data is shifted from left to right direction. It consist of serial input and serial output. Let assume at initial stage the data in all flip flop is 0. If the data 1010 is given to left shift register, after four clock pulse the 4 bit input data is stored in the flip flop. At fifth clock pulse the output is 0.At sixth clock pulse the output is 1. Serial in Serial out Fig 8.2 Right shift register after four clock pulse

2 8.2.1 Modes of operation There are four modes of operation in a shift register. They are 1. Serial in serial out 2. Serial in parallel out. 3. Parallel in parallel out 4. Parallel in serial out. Serial input data n- bit Serial output data Serial input data n- bit Parallel output data FIG.8.3 Serial in serial out Fig.8.4 Serial in Parallel out Parallel input data n- bit Parallel output data Parallel input data n- bit Serial input data Fig 8.5 Parallel in Parallel out FIG.8.6 Parallel in serial out Serial in serial out shift register. In serial in serial out shift register the data is applied in serial manner and the clock pulses are given to each flip flop. After each clock pulse the data move from one position to another and the output is obtained in serial form. A four bit shift register is constructed using D flip flop. The register is cleared, ie forcing all four output to 0. The input is applied sequentially to the D input of the first flip flop. The most significant bit is stored in FF0 and the least significant bit is stored in FF3. The four bit data is stored in the register after four clock pulse. Input Data FF0 FF1 FF2 FF3 D Q D Q D Q D Q CLK CLR Q CLK CLR Q CLK CLR Q FIG :8.7 serial in serial out shift Register CLK CLR Q Serial output data Clock Clear

3 8.2.3 Serial in parallel out shift Register In serial in parallel out shift register the input data is applied in serial manner and the output is obtained in parallel. The four D flip flops are used to construct the bit shift register is shown in fig 8.8. Here the output is taken at each flip flop. Once the data is stored, each bit appears on its respective output line, and all bits are available simultaneously. FIG :8.8 Serial in parallel out shift Register 8.2.4Parallel in serial out shift register A four bit parallel in serial out shift register is constructed with the help of D flip flop and NAND gates. The input is applied through NAND gate. The data bits are D0 to D3, where D0 is the most significant bit and D0 is the least significant bit. First the data is written in the NAND gate by making the shifted by making the WRITE / SHIFT as HIGH. WRITE / SHIFT LOW. Then the data can be FIG: 8.9 Parallel in serial out shift Register

4 8.2.5 Parallel in parallel out Shift Register In parallel in parallel out shift register all data bits appears on the parallel output. When ever the clock pulse is applied all the four bit input data is appeared at the output.d0, D1,D2, D3 are the parallel inputs. Q0, Q1, Q2, Q3 are the parallel output. The parallel in parallel out shift register is shown in fig. Q0 Q1 Q2 Q3 FF0 FF1 FF2 FF3 D0 Q D1 Q D2 Q D3 Q CLK CLK CLK CLK CLR Q CLR Q CLR Q CLR Q Clock FIG : 8.10 Parallel in parallel out shift Register Clear 8.3 Counters Counter is sequential circuit which count the binary values either in increment or decrement order. After a maximum count, the value resets once again to its initial value. Counters are fundamental components of digital system. It has wide applications like pulse counting, frequency division, time measurement and control and timing operations Classification of counters The counters is classified into three categories (i) Asynchronous and synchronous counters. (ii) Single and multi mode counters. (iii) Modulus counters. Asynchronous and synchronous counter: In asynchronous counter, each flip flop is triggered by the output from the previous flip flop. The settling time of asynchronous counter is the cumulative sum of individual flip flops In synchronous counter, the clock pulse is applied simultaneously to all flip flops. The settling time is equal to the propagation delay of individual flip flop.

5 Single and multi mode counters The single mode counter, the counter operates in single mode, i.e it counts either in UP or DOWN mode. Counter counts from zero to a maximum count is called UP count. Counter counts from a maximum count down to zero is called DOWN counter. In Multi mode counter it counts in both UP and DOWN mode. Modulus counter The number of state through which the counter passes is called as modulo, for instance n flip flops will have 2 n states and hence this type arrangement is called as 2 n modulo. 8.4 SYNCHRONOUS COUNTERS In synchronous counters, the clock inputs of all the flip-flops are connected together and are triggered by the input pulses. Thus, all the flip-flops change state simultaneously (in parallel). The circuit below is a 3-bit synchronous counter. The J and K inputs of FF0 are connected to HIGH. The JK flip flop toggles when both input are high. FF1 has its J and K Fig : bit Synchronous counter inputs connected to the output of FF0, and the J and K inputs of FF2 are connected to the Table: 8.1 States of Flip flop output of an AND gate that is fed by the outputs of FF0 and FF1.Pay attention to what happens after the 3 rd clock pulse. Both outputs of FF0 and FF1 are HIGH. The positive edge of the 4 th clock pulse will cause FF2 to change its state due to the AND gate. The count sequence for the 3-bit counter is shown. The most important advantage of synchronous counters is that there is no cumulative time delay because all flip-flops are triggered in parallel. Thus, the maximum operating frequency for this counter will be significantly higher than for the corresponding ripple counter.

6 8.5 SYNCHRONOUS - DECADE COUNTERS A synchronous decade counter counts from 0 to 9 and then recycles to 0 again. This is done by forcing the 1010 state back to the Table: 8.2 State table 0000 state. This so called truncated sequence can be constructed by the following circuit. Fig :8.12 Synchronous Decade counter From the sequence on the left, we notice that: Q0 toggles on each clock pulse. Q1 changes on the next clock pulse each time Q0=1 and Q3=0. Q2 changes on the next clock pulse each time Q0=Q1=1. Q3 changes on the next clock pulse each time Q0=1, Q1=1 and Q2=1 (count 7), or when Q0=1 and Q3=1 (count 9). These characteristics are implemented with the AND/OR logic connected as shown in the logic diagram SYNCHRONOUS - UP-DOWN COUNTER FIG : 8.13 Synchronous UP/DOWN counter

7 A circuit of a 3-bit synchronous up-down counter and a table of its sequence are shown below. A synchronous up-down counter also has an up-down control input. It is used to control the direction of the counter through a certain sequence. Table: 8.3 State Table For both UP and DOWN sequences, Q0 toggles on each clock pulse. For the UP sequence, Q1 changes state on the next clock pulse when Q0=1. For the DOWN sequence, Q1 changes state on the next clock pulse when Q0=0. For the UP sequence, Q2 changes state on the next clock pulse when Q0=Q1=1. For the DOWN sequence, Q2 changes state on the next clock pulse when Q0=Q1=0.These characteristics are implemented with the AND, OR & NOT logic connected as shown in the logic diagram above Design of synchronous counter Example :1 Design of MOD -3 Counters The MOD-3 counter consists of three states. It counts from 000 to 010. To design a counter with three state, the number flip flop required is 2 n 1 m 2 n. Here n is number of flip flop and m is the number of flip flop. If n=2 then we can get four states. Assume MOD-3 counter has three states a,b,c and its sequence is given by Step:1 Draw the state transition diagram a c b Fig 8.14 State diagram for MOD-3 counter

8 Step 2: Draw the state transition table Table: 8.4 State Transition table Present state Next state a b b c c a Step 3: State assignment Assign the binary values to the three states a= 00,b =01,c=10. Table :8.5 state assignment table Present state q1 q0 Next state Q1 Q Step 4: Excitation table JK flip flop is used for synchronous design for simplified circuit. Table : 8.6 Excitation Table Present state Next state Excitation inputs q1 q0 Q1 Q0 J1 K1 J0 K x 1 x x x x 1 0 x In first row present state q1 to next state Q1 has 0 0 transition which requires J1=0 and K1=x, q0 to Q0 has 0 1 transition which requires J0=1 and K0=x.

9 Step 5: Excitation map. Draw the excitation map for J1,K1,J0,K0 q0 q x x (a) J1 q0 q x x 1 x (b) K1 q0 q1 0 1 q0 q x 0 x x 1 x x (c) J0 (d) K0 Fig Excitation map for J1,K1,J0,K0 The simplified excitation functions are J1=q0 K1=1 J0= q 1 K0=1 Step 6: schematic diagram Q0(LSB) Q1(MSB) +5V +5V clock FIG.15 Circuit diagram for MOD-3 synchronous counter

10 Example 2: Design of BCD or MOD-10 counter. To design a BCD counter with 10 states four flip flops are required. The four flip flop has 16 states, the remaining states are consider as don t care.assume the 10 states for MOD -10 counter are a,b,c,d,e,f,g,h,i,j. Step:1 Draw the state transition diagram Fig 8.16 state diagram for MOD-10 Counter Step 2: Draw the state transition table Table : 8.7 State transition table Present state Next state a b b c c d d e e f f g g h h i i j j a

11 Step 3: State assignment Assign the binary values to the three states a= 0000,b =0001,c=0010,d=0011,e=0100,f=.0101,g=0110,h=0111,i=1000,j=1001 Table : 8.8 State assignment table Present state q3 q2 q1 q0 Next state Q3 Q2 Q1Q Step 4: Excitation table JK flip flop is used for synchronous design for simplified circuit. Table :8.9 Excitation table Present state q3 q2 q1 q0 Next state Q3 Q2 Q1Q0 Excitation inputs J3 K3 J2 K2 J1 K1 J0 K x 0 x 0 x 1 x x 0 x 1 x x x 0 x x 0 1 x x 1 x x 1 x x x 0 0 x 1 x x x 0 1 x x x x 0 x 0 1 x x x 1 x 1 x x 0 0 x 0 x 1 x x 1 0 x 0 x x x Step 5: Excitation map. Draw the excitation map for J1,K1,J0,K0

12 q1q0 q3q x x q3q2 q1q x x x x x 01 x x x x x 11 x x x x x x 10 x x x x (a) J3=q2q1q0 (b) k3=q0 q3q2 q1q x x 0 q3q2 q1q x 0 x x 01 0 x x 0 01 x 0 x x 11 1 x x x 11 x 1 x x 10 0 x x x 10 x 0 x x (c) J2=q1q0 (d) k2=q1q0 q3q2 q1q x 0 q3q2 q1q x x x x x 0 01 x x x x 11 x x x x x x 10 x x x x x x (e) J1= q 3q0 (f) k1=q0

13 q3q2 q1q x 1 01 x x x x 11 x x x x x x (g) J0=1 q3q2 q1q x x x x x x x 10 x x x x (h) K0=1 Step 6: schematic diagram for the Excitation map +5V Clock J0 Q0 J1 Q1 J2 Q2 J3 Q3 k0 Q 0 K1 Q1 K2 Q2 Q3 K3 J0 0 J0 J0 Q0(LSB) Q1 Q2 Q3(MSB) FIG 8.17 Circuit diagram for MOD-10 counter

14 8.6 HDL FOR SEQUENTIAL CIRCUIT. VHDL is an acronym which stands for VHSIC Hardware Description Language and VHSIC stands for Very High Speed Integrated Circuits. The acronym itself captures the entire theme of language and it describes the hardware in the same manner as does the schematic. VHDL is being used for documentation, verification, and synthesis of large digital designs. This key feature of the VHDL of the VHDL saves a lot design effort. Since the same VHDL code can theoretically achieve all three of these goals. In addition to being used for each of these purposes, VHDL can be used in three different approaches for describing the hardware. These three different approaches are the data flow, structural and behavioral methods of hardware description. A mixture of these three methods can also be employed to arrive at an efficient design. VHDL LIBRARIES The libraries can be declared in VHDL using two lines of code, one containing the name of the library and the other line containing a use clause as follows. library library_name; use library _name. package_name.all; At least three packages are usually needed in a design from three different libraries. They are (i) ieee.std_logic_1164 from the ieee library, (ii) standard from the std library and (iii) work from work library. The library declarations for the above three different packages are given as follows. use ieee.std_logic_1164.all; library std; use std.standard.all; library work; use work.all; The libraries std and work shown above are made visible by default, so there is no need to declare them; only the ieee library must be explicitly written. However, the latter is only necessary, when the std_logic(or std_ulogic) data type is employed in the design. The purpose of three packages/libraries mentioned above is the following: the std_logic_1164 package of the ieee library specifies a multi-level logic system; std is a resource library(data types, text i/o,etc) for the VHDL design environment; and the work

15 library is where the user designed programs are saved(the.vhd file, plus all files created by the compiler, simulator, etc.). The ieee library contains several packages, including the following; std_logic_1164: specifies the std_logic (8 levels) and std ulogic (9 levels) multi-valued logic systems. The 8 levels of std_logic are: X, - Forcing Unknown, 0, - Forcing 0, 1, - Forcing 1, Z, - High Impedance, W, - Weak Unknown, L, - Weak 0, H, - Weak 1 and - - Don t care. The 9 levels of std_ulogic are: U, - Uninitialized plus the 8 of std_logic. Std_logic_arith: specifies the SIGNED and UNSIGNED data types and related arithmetic and comparison operations. It also contains several data conversion functions, which allow one type to be converted into another: conv_integer(p), conv_unsigned(p,b), conv_signed (p,b), conv_std_logic_vector(p,b). Std_logic_signed: contains functions that allow operations with std_logic_vector data to be performed as if the data were of type SIGNED. Std_logic_unsigned : contains functions that allow operations with std_logic_vector data to be performed as is the data were of type UNSIGNED. HDL FOR SEQUENTIAL LOGIC CIRCUITS Realization of flip-flops SR- Flip-Flop: The VHDL program for the SR-Flip-Flop can be written as follows. This program follows data flow approach. use ieee.std_logic_1164.all; entity srff1 is - Declaration of entity port(s,r: in std_logic;q,nq:inout std_logic) Set of i/p & o/p in declaration end srff1; - End of entity declaration architecture srff_arch of srff1 is Q<=R nor NQ; NQ<= S nor Q; Clocked SR Flip-Flop: The VHDL program for the clocked SR flip-flop cab be written as follows. This program follows structural approach. use ieee.std_logic_1164.all;

16 entity clksr is port(s,r,clk:in std_logic; M,N:inout std_logic; Q,NQ:inout std_logic); end clksr; architechture clksr_arch of clksr is component srff1 is port(s,r:in std_logic; Q,NQ:inout std_logic); end component; M<=S and Clk; - AND logic operation S with CLK N<=R and Clk; - AND logic operation R with CLK al:srff1 port map(m,n,q,nq) - SR FF component is used at - instance al D- Flip-Flop: The VHDL program for the D- Flip-Flop can be written as follows. This program follows behavioral approach. use ieee.std_logic_1164.all; entity dfff1 is port(d, CLK, reset:in std_logic; Q:out std_logic); end dfff1; architechture arch_dflipflop of dfff1 is process(clk) if(clk event cnd CLK= 1 )then - CLK =1: if reset = 0 then - Reset=0 implies q=0 Q<= 0 ; - Reset=1 implies q=d Else Q<=D; endif; endif; end process;

17 JK- Flip-Flop: The VHDL program for the JK- flip flop can be written as follows. This program follows behavioral approach. use ieee.std_logic_1164.all; entity jkff1 is port(j,k, CLK:in std_logic;; Q,NQ:inout std_logic); end jkff1; architechture jkff_arch of jkff1 is process(clk,j,k) if(clk= 1 and CLK event) then if(j= 0 and K= 0 )then Q<=Q; NQ<=NQ; elsif(j= 1 and K= 0 )then Q<= 1 ; NQ<= 0 ; elsif(j= 0 and K= 1 )then Q<= 0 ; NQ<= 1 ; elsif(j= 1 and K= 1 )then Q<=not Q; NQ<=not NQ; end if; end if; end process; T- Flip-Flop: The VHDL program for the T- flip flop can be written as follows. This program follows behavioral approach. use ieee.std_logic_1164.all; entity tff1 is port(t, CLK:in std_logic;; Q,NQ:inout std_logic);

18 end tff1; architechture arch_tff of tff1 is process(clk,t) if(clk= 1 and CLK event) then if(t= 1 )then Q<=not Q; NQ<=not(Q)after 0.5 ns; else Q<=Q; NQ<=not(Q)after 0.5 ns; end if; end if; end process; 4-bit Serial in Serial out Shift Register: The VHDL program for the 4-bit Serial in Serial out Shift Register can be written as follows. This program follows structural approach. When a clock pulse is applied, output of one flip-flop is given as input of the next flip-flop. The serial output is taken from the last flip-flop. use ieee.std_logic_1164.all; entity siso is port(d:instd_logic: reset, CLK:in std_logic; Q:out std_logic); end siso; Architechture arch_siso of siso is signal QA,QB,QC,QD: std_logic; component dff1 is port(d,clk,reset: in std_logic; Q: out std_logic); end component; a1: dff port map(d,clk,reset,qa); a2: dff port map(qa,clk,reset,qb); a3: dff port map(qb,clk,reset,qc); a4: dff port map(qc,clk,reset,qd); - D flip-flop program is used as component

19 4-bit Serial in Parallel out Shift Register: The VHDL program for the 4-bit Serial in Parallel out Shift Register can be written as follows. This program follows behavioral approach. When the clock pulse is applied, output of one flip-flop is given as input of the next one and the output is obtained from all the flip-flops. use ieee.std_logic_1164.all; use ieee.std_logic _unsigned.all; entity shiftreg is port(clk,reset,enable:instd_logic;shiftedop:out std_logic_vector(3 downto 0)); end shiftreg; Architecture arch_shiftreg of shiftreg is signal a:std_logic_vector(3 down to 0); process(clk,reset) if reset= 0 then a<=(others=> 0 ); - signal a is cleared, i.e, a=0000 elsifclk event and CLK= 1 then if enable= 1 then a<=sh1(a, 1 ); - shift left a by one bit a(0)<=d; endif; endif; end process; shiftedop<=a; 4-bit Parallel in Serial out Shift Register: The VHDL program for the 4-bit Parallel in Serial out Shift Register using behavioral approach can be written as follows. use ieee.std_logic_1164.all; use ieee.std_logic _unsigned.all; entity dpiso is port(clk,load:instd_logic;d:in std_logic_vector(3 downto 0)); dout:out std_logic; end dpiso; Architecture arch_dpiso of dpiso is signal reg:std_logic_vector(3 down to 0);

20 process(clk) if(clk event and CLK= 1 ) then if(load= 1 )then reg<=d; else reg<=reg(2 downto 0) & 0 ; endif; endif; end process; dout<=reg(3); 4-bit Parallel in Parallel out Shift Register: The VHDL program for the 4-bit Parallel in Parallel out Shift Register can be written as follows. This program follows structural approach. use ieee.std_logic_1164.all; entity pipo is port(d:instd_logic_vector(0 to 3); reset,clk:in std_logic; Q:out std_logic_vector(0 to 3)); end pipo; Architechture arch_pipo of pipo is component dff1 is port(d,clk,reset: in std_logic; Q: out std_logic); end component; a1: dff1 port map(d(0),clk,reset,q(0)); - Delay FF component is used at a2: dff1 port map(d(1),clk,reset,q(1)); a3: dff1 port map(d(2),clk,reset,q(2)); a4: dff1 port map(d(3),clk,reset,q(3)); 4-bit Synchronous Binary Counter: The VHDL program for the 4-bit Synchronous Binary Counter can be written as follows. This program follows structural approach. use ieee.std_logic_164.all; entity binarycounter is

21 port(vcc,clk:in std_logic_vector(0 to 3)); end binary counter; architecture arch_binarycounter of binarycounter is signal X1,Y1:std_logic; component jkff1 is port(j,k,clk: in std_logic;q,nq:inout std_logic); end component; component andgate is port(a,b:in std_logic;y:out std_logic); end component; component andgates is port(a,b,c:in std_logic;y:out std_logic); end component; a: jkff1 port map(vcc,vcc,clk,q(0),nq(0)); b: jkff1 port map(q(0),q(0),clk,q(1),nq(1)); c: abdgate port map(q(1),q(0),x1); d: jkff1 port map(x1,x1,clk,q(2),nq(2)); f: andgates port map(q(0),q(1),q(2),y1); e: jkff1 port map(y1,y!,clk,q(3),nq(3)); 3-bit Synchronous Up/Down Counter: The VHDL program for the 3-bit Synchronous Up/Down counter can be written as follows. This program follows structural approach. use ieee.std_logic_164.all; entity updowncounter is port(vcc,up,clk:in std_logic; Q,NQ:inout std_logic_vector(0 to 2)); end updowncounter; architecture arch_updowncounter of updowncounter is signal X1,Y1,Z1,A1,B1,C1,D1:std_logic; component jkff1 is port(j,k,clk: in std_logic;q,nq:inout std_logic); end component;

22 component andgate is port(a,b:in std_logic;y:out std_logic); end component; component notgate is port(a:in std_logic;y:out std_logic); end component; component orgate is port(a,b:in std_logic;y:out std_logic); end component; ff1: jkff1 port map(vcc,vcc,clk,q(0),nq(0)); ag1: andgate port map(q(0),up,x1); ng1: notgate port map(up,y1); - Up control i/p is inverted to get ag2: andgate port map(nq(0),y1,z1); - Down (active low) og1: orgate port map(x1,z1,a1); ff2: jkff1 port map(a1,a1,clk,q(1),nq(1)); ag3: andgate port map(q(1),x1,b1); ag4: andgate port map(z1,nq(1),c1); og2: orgate port map(b1,c1,d1); 4-bit Ring Counter: The VHDL program for the 4-bit ring counter can be written using the behavioral approach. use ieee.std_logic_164.all; entity ringcount is port(clk,clr:in std_logic; Q:inout std_logic_vector(0 to 3)); end ringcount; architecture rincountarch of ringcount is process(clk,clr) if (CLR= 0 )then Q<= 1000 ;

23 elsif(clr= 1 ) then if(clk= 1 )and CLK event then Q(0)<=Q(3); for I in 0 to 2 loop Q(i+1)<=Q(i); end loop; endif; endif; end process; end ringcountarch; 4-bit Johnson Counter: The following is D-flip-flop program that follows behavioral approach and is used as component in Johnson Counter. use ieee.std_logic_1164.all; entity dff2 is port(d, CLK, reset:in std_logic; Q,NQ:out std_logic); end dff2; architechture arch_dflipflop of dff2 is process(clk) if(clk event cnd CLK= 1 )then - CLK =1: if reset = 0 then - Reset=0 implies q=0 Q<= 0 ; - Reset=1 implies q=d else Q<=D; NQ<=not(Q); endif; endif; end process; The VHDL program for the 4-bit Johnson Counter can be written as follows that uses the above D-flip-flop program as component. entity Johnson is port(clk,reset:in std_logic;

24 Q,NQ:inout std_logic_vector(0 to 3)); end Johnson; architecture arch_johnson of Johnson is component dff2 is port(d,clk,reset:in std_logic; Q,NQ:inout std_logic); end component; a: dff2 port map(nq(3),clk,reset,q(0),nq(0)); b: dff2 port map(q(0),clk,reset,q(1),nq(1)); c: dff2 port map(q(1),clk,reset,q(2),nq(2)); d: dff2 port map(q(2),clk,reset,q(3),nq(3)); Summary A register is group of flip flop can store one bit information. Shift registers are used in parallel to serial and serial to parallel conversion, Successive approximation type A/D converters, Sequence generators. In n bit shift register n flop flops are required. The primary function of counter is to count binary bits in a pre defined sequence using any types of flip flop. Counter is a sequential circuit and it can be developed by flip flops. Generally counter has 2 n counter states. Where n is the number of flip flops used in the counter. Counter of any value can be designed by skipping some states from the natural value. For this feedback signals are taken from some flip flops and then reset or clear all flip flops. Counters are classified into synchronous and asynchronous counters Review questions 1. What is shift register? What are the types of shift register? 2. Write the applications of shift register. 3. Design a synchronous binary counter using T flip flop. 4. Discuss a decade counter and its working principle. 5. Design a counter with the following repeated binary sequence 0,1,2,3,4,5,6. Use JK flip flop. 6. Write a HDL program for synchronous counter

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

Counter dan Register

Counter dan Register Counter dan Register Introduction Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory.

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

Flip-flop and Registers

Flip-flop and Registers ECE 322 Digital Design with VHDL Flip-flop and Registers Lecture Textbook References n Sequential Logic Review Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 2 nd or

More information

Module -5 Sequential Logic Design

Module -5 Sequential Logic Design Module -5 Sequential Logic Design 5.1. Motivation: In digital circuit theory, sequential logic is a type of logic circuit whose output depends not only on the present value of its input signals but on

More information

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters The Islamic University of Gaza Engineering Faculty Department of Computer Engineering Spring 2018 ECOM 2022 Khaleel I. Shaheen Sequential Digital Design Laboratory Manual Experiment #7 Counters Objectives

More information

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari Sequential Circuits The combinational circuit does not use any memory. Hence the previous state of input does not have any effect on the present state of the circuit. But sequential circuit has memory

More information

Digital Fundamentals: A Systems Approach

Digital Fundamentals: A Systems Approach Digital Fundamentals: A Systems Approach Counters Chapter 8 A System: Digital Clock Digital Clock: Counter Logic Diagram Digital Clock: Hours Counter & Decoders Finite State Machines Moore machine: One

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

ECE 263 Digital Systems, Fall 2015

ECE 263 Digital Systems, Fall 2015 ECE 263 Digital Systems, Fall 2015 REVIEW: FINALS MEMORY ROM, PROM, EPROM, EEPROM, FLASH RAM, DRAM, SRAM Design of a memory cell 1. Draw circuits and write 2 differences and 2 similarities between DRAM

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

UNIT-3: SEQUENTIAL LOGIC CIRCUITS

UNIT-3: SEQUENTIAL LOGIC CIRCUITS UNIT-3: SEQUENTIAL LOGIC CIRCUITS STRUCTURE 3. Objectives 3. Introduction 3.2 Sequential Logic Circuits 3.2. NAND Latch 3.2.2 RS Flip-Flop 3.2.3 D Flip-Flop 3.2.4 JK Flip-Flop 3.2.5 Edge Triggered RS Flip-Flop

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

CHAPTER 6 COUNTERS & REGISTERS

CHAPTER 6 COUNTERS & REGISTERS CHAPTER 6 COUNTERS & REGISTERS 6.1 Asynchronous Counter 6.2 Synchronous Counter 6.3 State Machine 6.4 Basic Shift Register 6.5 Serial In/Serial Out Shift Register 6.6 Serial In/Parallel Out Shift Register

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

ACS College of Engineering. Department of Biomedical Engineering. HDL pre lab questions ( ) Cycle-1

ACS College of Engineering. Department of Biomedical Engineering. HDL pre lab questions ( ) Cycle-1 ACS College of Engineering Department of Biomedical Engineering HDL pre lab questions (2015-2016) Cycle-1 1. What is truth table? 2. Which gates are called universal gates? 3. Define HDL? 4. What is the

More information

Chapter 7 Counters and Registers

Chapter 7 Counters and Registers Chapter 7 Counters and Registers Chapter 7 Objectives Selected areas covered in this chapter: Operation & characteristics of synchronous and asynchronous counters. Analyzing and evaluating various types

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

Chapter 3 Unit Combinational

Chapter 3 Unit Combinational EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Logic and Computer Design Fundamentals Chapter 3 Unit Combinational 5 Registers Logic and Design Counters Part Implementation Technology

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS One common requirement in digital circuits is counting, both forward and backward. Digital clocks and

More information

Chapter 5 Synchronous Sequential Logic

Chapter 5 Synchronous Sequential Logic Chapter 5 Synchronous Sequential Logic Chih-Tsun Huang ( 黃稚存 ) http://nthucad.cs.nthu.edu.tw/~cthuang/ Department of Computer Science National Tsing Hua University Outline Introduction Storage Elements:

More information

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur SEQUENTIAL LOGIC Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur www.satish0402.weebly.com OSCILLATORS Oscillators is an amplifier which derives its input from output. Oscillators

More information

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Chapter 6. Flip-Flops and Simple Flip-Flop Applications Chapter 6 Flip-Flops and Simple Flip-Flop Applications Basic bistable element It is a circuit having two stable conditions (states). It can be used to store binary symbols. J. C. Huang, 2004 Digital Logic

More information

Sequential circuits. Same input can produce different output. Logic circuit. William Sandqvist

Sequential circuits. Same input can produce different output. Logic circuit. William Sandqvist Sequential circuits Same input can produce different output Logic circuit If the same input may produce different output signal, we have a sequential logic circuit. It must then have an internal memory

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

Vignana Bharathi Institute of Technology UNIT 4 DLD

Vignana Bharathi Institute of Technology UNIT 4 DLD DLD UNIT IV Synchronous Sequential Circuits, Latches, Flip-flops, analysis of clocked sequential circuits, Registers, Shift registers, Ripple counters, Synchronous counters, other counters. Asynchronous

More information

Scanned by CamScanner

Scanned by CamScanner NAVEEN RAJA VELCHURI DSD & Digital IC Applications Example: 2-bit asynchronous up counter: The 2-bit Asynchronous counter requires two flip-flops. Both flip-flop inputs are connected to logic 1, and initially

More information

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1 Sequential Logic E&CE 223 igital Circuits and Systems (A. Kennings) Page 1 Sequential Circuits Have considered only combinational circuits in which circuit outputs are determined entirely by current circuit

More information

UNIVERSITI TEKNOLOGI MALAYSIA

UNIVERSITI TEKNOLOGI MALAYSIA SULIT Faculty of Computing UNIVERSITI TEKNOLOGI MALAYSIA FINAL EXAMINATION SEMESTER I, 2016 / 2017 SUBJECT CODE : SUBJECT NAME : SECTION : TIME : DATE/DAY : VENUES : INSTRUCTIONS : Answer all questions

More information

Logic Design Viva Question Bank Compiled By Channveer Patil

Logic Design Viva Question Bank Compiled By Channveer Patil Logic Design Viva Question Bank Compiled By Channveer Patil Title of the Practical: Verify the truth table of logic gates AND, OR, NOT, NAND and NOR gates/ Design Basic Gates Using NAND/NOR gates. Q.1

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

ASYNCHRONOUS COUNTER CIRCUITS

ASYNCHRONOUS COUNTER CIRCUITS ASYNCHRONOUS COUNTER CIRCUITS Asynchronous counters do not have a common clock that controls all the Hipflop stages. The control clock is input into the first stage, or the LSB stage of the counter. The

More information

Other Flip-Flops. Lecture 27 1

Other Flip-Flops. Lecture 27 1 Other Flip-Flops Other types of flip-flops can be constructed by using the D flip-flop and external logic. Two flip-flops less widely used in the design of digital systems are the JK and T flip-flops.

More information

WWW.STUDENTSFOCUS.COM + Class Subject Code Subject Prepared By Lesson Plan for Time: Lesson. No 1.CONTENT LIST: Introduction to Unit III 2. SKILLS ADDRESSED: Listening I year, 02 sem CS6201 Digital Principles

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

Analysis of Sequential Circuits

Analysis of Sequential Circuits NOTE: Explanation Refer lass Notes Digital ircuits(15ee23) Analysis of Sequential ircuits by Nagaraj Vannal, Asst.Professor, School of Electronics Engineering,.L.E. Technological University, Hubballi.

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified)

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Eng. Mohammed Samara. Fall The Islamic University of Gaza. Faculty of Engineering. Computer Engineering Department

Eng. Mohammed Samara. Fall The Islamic University of Gaza. Faculty of Engineering. Computer Engineering Department Fall 2011 The Islamic University of Gaza Faculty of Engineering Computer Engineering Department ECOM 4111 - Digital Systems Design Lab Lab 7: Prepared By: Eng. Mohammed Samara Introduction: A counter is

More information

MC9211 Computer Organization

MC9211 Computer Organization MC9211 Computer Organization Unit 2 : Combinational and Sequential Circuits Lesson2 : Sequential Circuits (KSB) (MCA) (2009-12/ODD) (2009-10/1 A&B) Coverage Lesson2 Outlines the formal procedures for the

More information

Unit 11. Latches and Flip-Flops

Unit 11. Latches and Flip-Flops Unit 11 Latches and Flip-Flops 1 Combinational Circuits A combinational circuit consists of logic gates whose outputs, at any time, are determined by combining the values of the inputs. For n input variables,

More information

EKT 121/4 ELEKTRONIK DIGIT 1

EKT 121/4 ELEKTRONIK DIGIT 1 EKT 2/4 ELEKTRONIK DIGIT Kolej Universiti Kejuruteraan Utara Malaysia Sequential Logic Circuits - COUNTERS - LATCHES (review) S-R R Latch S-R R Latch Active-LOW input INPUTS OUTPUTS S R Q Q COMMENTS Q

More information

Unit-5 Sequential Circuits - 1

Unit-5 Sequential Circuits - 1 Unit-5 Sequential Circuits - 1 1. With the help of block diagram, explain the working of a JK Master-Slave flip flop. 2. Differentiate between combinational circuit and sequential circuit. 3. Explain Schmitt

More information

Lecture 8: Sequential Logic

Lecture 8: Sequential Logic Lecture 8: Sequential Logic Last lecture discussed how we can use digital electronics to do combinatorial logic we designed circuits that gave an immediate output when presented with a given set of inputs

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT 4 SYNCHRONOUS SEQUENTIAL LOGIC Sequential circuits

More information

Sequential Logic Counters and Registers

Sequential Logic Counters and Registers Sequential Logic ounters and Registers ounters Introduction: ounters Asynchronous (Ripple) ounters Asynchronous ounters with MOD number < 2 n Asynchronous Down ounters ascading Asynchronous ounters svbitec.wordpress.com

More information

Flip-Flops and Sequential Circuit Design

Flip-Flops and Sequential Circuit Design Flip-Flops and Sequential Circuit Design ECE 52 Summer 29 Reading ssignment Brown and Vranesic 7 Flip-Flops, Registers, Counters and a Simple Processor 7.5 T Flip-Flop 7.5. Configurable Flip-Flops 7.6

More information

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M CSE-4523 Latches and Flip-flops Dr. Izadi NOR gate property: A B Z A B Z Cross coupled NOR gates: S M S R M R S M R S R S R M S S M R R S ' Gate R Gate S R S G R S R (t+) S G R Flip_flops:. S-R flip-flop

More information

EE292: Fundamentals of ECE

EE292: Fundamentals of ECE EE292: Fundamentals of ECE Fall 2012 TTh 10:00-11:15 SEB 1242 Lecture 23 121120 http://www.ee.unlv.edu/~b1morris/ee292/ 2 Outline Review Combinatorial Logic Sequential Logic 3 Combinatorial Logic Circuits

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

Flip-Flops and Registers

Flip-Flops and Registers The slides included herein were taken from the materials accompanying Fundamentals of Logic Design, 6 th Edition, by Roth and Kinney, and were used with permission from Cengage Learning. Flip-Flops and

More information

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100 MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER 2016 CS 203: Switching Theory and Logic Design Time: 3 Hrs Marks: 100 PART A ( Answer All Questions Each carries 3 Marks )

More information

Class 06 Sequential Logic: Flip-Flop

Class 06 Sequential Logic: Flip-Flop Class 06 Sequential Logic: Flip-Flop June 16, 2017 2 Differences between Latch and Flip-Flop D latch Level trigger D flip-flop Edge trigger 1 June 16, 2017 3 Function Table of D Flip-Flop DFF D flip-flop

More information

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall Objective: - Dealing with the operation of simple sequential devices. Learning invalid condition in

More information

ELE2120 Digital Circuits and Systems. Tutorial Note 8

ELE2120 Digital Circuits and Systems. Tutorial Note 8 ELE2120 Digital Circuits and Systems Tutorial Note 8 Outline 1. Register 2. Counters 3. Synchronous Counter 4. Asynchronous Counter 5. Sequential Circuit Design Overview 1. Register Applications: temporally

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany Digital Logic Design Sequential Circuits Dr. Basem ElHalawany Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs

More information

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram UNIT III INTRODUCTION In combinational logic circuits, the outputs at any instant of time depend only on the input signals present at that time. For a change in input, the output occurs immediately. Combinational

More information

Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS

Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS Sequential circuits Classification of sequential circuits: Sequential circuits may be classified as two types. 1. Synchronous sequential

More information

Sri Vidya College of Engineering And Technology. Virudhunagar Department of Electrical and Electronics Engineering

Sri Vidya College of Engineering And Technology. Virudhunagar Department of Electrical and Electronics Engineering Sri Vidya College of Engineering And Technology Virudhunagar 626 005 Department of Electrical and Electronics Engineering Year/ Semester/ Class : II/ III/ EEE Academic Year: 2017-2018 Subject Code/ Name:

More information

UNIT IV. Sequential circuit

UNIT IV. Sequential circuit UNIT IV Sequential circuit Introduction In the previous session, we said that the output of a combinational circuit depends solely upon the input. The implication is that combinational circuits have no

More information

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) WINTER 2018 EXAMINATION MODEL ANSWER

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) WINTER 2018 EXAMINATION MODEL ANSWER Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in themodel answer scheme. 2) The model answer and the answer written by candidate may

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 6 Following the slides of Dr. Ahmed H. Madian ذو الحجة 1438 ه Winter

More information

Section 6.8 Synthesis of Sequential Logic Page 1 of 8

Section 6.8 Synthesis of Sequential Logic Page 1 of 8 Section 6.8 Synthesis of Sequential Logic Page of 8 6.8 Synthesis of Sequential Logic Steps:. Given a description (usually in words), develop the state diagram. 2. Convert the state diagram to a next-state

More information

Chapter. Synchronous Sequential Circuits

Chapter. Synchronous Sequential Circuits Chapter 5 Synchronous Sequential Circuits Logic Circuits- Review Logic Circuits 2 Combinational Circuits Consists of logic gates whose outputs are determined from the current combination of inputs. Performs

More information

EET2411 DIGITAL ELECTRONICS

EET2411 DIGITAL ELECTRONICS 5-8 Clocked D Flip-FlopFlop One data input. The output changes to the value of the input at either the positive going or negative going clock trigger. May be implemented with a J-K FF by tying the J input

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

Universal Asynchronous Receiver- Transmitter (UART)

Universal Asynchronous Receiver- Transmitter (UART) Universal Asynchronous Receiver- Transmitter (UART) (UART) Block Diagram Four-Bit Bidirectional Shift Register Shift Register Counters Shift registers can form useful counters by recirculating a pattern

More information

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1 DAY MODU LE TOPIC QUESTIONS Day 1 Day 2 Day 3 Day 4 I Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation Phase Shift Wein Bridge oscillators.

More information

Class 19 Sequential Logic: Flip-Flop

Class 19 Sequential Logic: Flip-Flop Class 9 Sequential Logic: Flip-Flop June 2, 22 2 Differences between Latch and Flip-Flop D latch Level trigger D flip-flop Edge trigger June 2, 22 3 Function Table of D Flip-Flop DFF CLK D D flip-flop

More information

hochschule fu r angewandte wissenschaften hamburg Prof. Dr. B. Schwarz FB Elektrotechnik/Informatik

hochschule fu r angewandte wissenschaften hamburg Prof. Dr. B. Schwarz FB Elektrotechnik/Informatik 8 Shift Registers A Johnson counter contains the basic structure of a shift register which is made up by a chain of D- FFs. Beginning with the LSB of a register (a number of D-FFs) each D-FF output can

More information

Digital Systems Laboratory 3 Counters & Registers Time 4 hours

Digital Systems Laboratory 3 Counters & Registers Time 4 hours Digital Systems Laboratory 3 Counters & Registers Time 4 hours Aim: To investigate the counters and registers constructed from flip-flops. Introduction: In the previous module, you have learnt D, S-R,

More information

Digital Logic Design ENEE x. Lecture 19

Digital Logic Design ENEE x. Lecture 19 Digital Logic Design ENEE 244-010x Lecture 19 Announcements Homework 8 due on Monday, 11/23. Agenda Last time: Timing Considerations (6.3) Master-Slave Flip-Flops (6.4) This time: Edge-Triggered Flip-Flops

More information

Sequential Logic Circuits

Sequential Logic Circuits Sequential Logic Circuits By Dr. M. Hebaishy Digital Logic Design Ch- Rem.!) Types of Logic Circuits Combinational Logic Memoryless Outputs determined by current values of inputs Sequential Logic Has memory

More information

Digital Design, Kyung Hee Univ. Chapter 5. Synchronous Sequential Logic

Digital Design, Kyung Hee Univ. Chapter 5. Synchronous Sequential Logic Chapter 5. Synchronous Sequential Logic 1 5.1 Introduction Electronic products: ability to send, receive, store, retrieve, and process information in binary format Dependence on past values of inputs Sequential

More information

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 1 Introduction Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 Circuits for counting both forward and backward events are frequently used in computers and other digital systems. Digital

More information

Experiment # 9. Clock generator circuits & Counters. Digital Design LAB

Experiment # 9. Clock generator circuits & Counters. Digital Design LAB Digital Design LAB Islamic University Gaza Engineering Faculty Department of Computer Engineering Fall 2012 ECOM 2112: Digital Design LAB Eng: Ahmed M. Ayash Experiment # 9 Clock generator circuits & Counters

More information

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Lab Manual for Computer Organization Lab

More information

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute DIGITAL TECHNICS Dr. Bálint Pődör Óbuda University, Microelectronics and Technology Institute 7. LECTURE: REGISTERS, COUNTERS AND SERIAL ARITHMETIC CIRCUITS st (Autumn) term 208/209 7. LECTURE: REGISTERS,

More information

Chapter 6 Registers and Counters

Chapter 6 Registers and Counters EEA051 - Digital Logic 數位邏輯 Chapter 6 Registers and Counters 吳俊興國立高雄大學資訊工程學系 January 2006 Chapter 6 Registers and Counters 6-1 Registers 6-2 Shift Registers 6-3 Ripple Counters 6-4 Synchronous Counters

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 7 Following the slides of Dr. Ahmed H. Madian محرم 1439 ه Winter

More information

Digital Logic Design I

Digital Logic Design I Digital Logic Design I Synchronous Sequential Logic Mustafa Kemal Uyguroğlu Sequential Circuits Asynchronous Inputs Combinational Circuit Memory Elements Outputs Synchronous Inputs Combinational Circuit

More information

Introduction. Serial In - Serial Out Shift Registers (SISO)

Introduction. Serial In - Serial Out Shift Registers (SISO) Introduction Shift registers are a type of sequential logic circuit, mainly for storage of digital data. They are a group of flip-flops connected in a chain so that the output from one flip-flop becomes

More information

CHAPTER 1 LATCHES & FLIP-FLOPS

CHAPTER 1 LATCHES & FLIP-FLOPS CHAPTER 1 LATCHES & FLIP-FLOPS 1 Outcome After learning this chapter, student should be able to; Recognize the difference between latches and flipflops Analyze the operation of the flip flop Draw the output

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

Introduction to Microprocessor & Digital Logic

Introduction to Microprocessor & Digital Logic ME262 Introduction to Microprocessor & Digital Logic (Sequential Logic) Summer 2 Sequential Logic Definition The output(s) of a sequential circuit depends d on the current and past states of the inputs,

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic -A Sequential Circuit consists of a combinational circuit to which storage elements are connected to form a feedback path. The storage elements are devices capable of storing

More information

Digital Systems Laboratory 1 IE5 / WS 2001

Digital Systems Laboratory 1 IE5 / WS 2001 Digital Systems Laboratory 1 IE5 / WS 2001 university of applied sciences fachhochschule hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK digital and microprocessor systems laboratory In this course you

More information

Decade Counters Mod-5 counter: Decade Counter:

Decade Counters Mod-5 counter: Decade Counter: Decade Counters We can design a decade counter using cascade of mod-5 and mod-2 counters. Mod-2 counter is just a single flip-flop with the two stable states as 0 and 1. Mod-5 counter: A typical mod-5

More information

Sequential Logic Basics

Sequential Logic Basics Sequential Logic Basics Unlike Combinational Logic circuits that change state depending upon the actual signals being applied to their inputs at that time, Sequential Logic circuits have some form of inherent

More information

D Latch (Transparent Latch)

D Latch (Transparent Latch) D Latch (Transparent Latch) -One way to eliminate the undesirable condition of the indeterminate state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done

More information

Feedback Sequential Circuits

Feedback Sequential Circuits Feedback Sequential Circuits sequential circuit output depends on 1. current inputs 2. past sequence of inputs current state feedback sequential circuit uses ordinary gates and feedback loops to create

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Chapter 9. Design of Counters

Chapter 9. Design of Counters Chapter 9 Design of Counters 9.0 Introduction Counter is another class of sequential circuits that tally a series of input pulses which may be regular or irregular in nature. Counter can be divided into

More information