Packaging trends for advanced Cmos technology nodes

Size: px
Start display at page:

Download "Packaging trends for advanced Cmos technology nodes"

Transcription

1 Packaging trends for advanced Cmos technology nodes Michel Garnier Packaging & Test Manufacturing Corporate Packaging & Automation STMicroelectronics Advanced Packaging Session, Semicon Russia 6th June 2013

2 Content 2 STMicroelectronics presentation General presentation R&D STM Packaging Platforms covered at ST Packaging development for Advanced Cmos technologies Business segments Main requests Cu pillar for FC packages Cu RDL for WLPs Conclusions

3 Who we are 3 A global semiconductor leader The largest European semiconductor company 2012 revenues of $8.49B (1) Approx. 48,000 employees worldwide (1) Approx. 11,500 (1) people working in R&D 12 manufacturing sites Listed on New York Stock Exchange, Euronext Paris and Borsa Italiana, Milano (1) Including ST-Ericsson, a 50:50 joint venture with Ericsson

4 Product Segments 4 ST-Ericsson* 50:50 JV with Ericsson Sense & Power and Automotive Products (SPA) Embedded Processing Solutions (EPS) Analog, MEMS & Sensors (AMS) Automotive Product Group (APG) Industrial & Power Discrete (IPD) Digital Convergence Group (DCG) Imaging, BiCMOS ASIC & Silicon Photonics (IBP) Microcontroller, Memory & Secure MCU (MMS) Wireless (WPS) *Exit to be completed by 3Q 2013

5 Where you find us 5 Our MEMS & Sensors are augmenting the consumer experience Our digital consumer products are powering the augmented digital lifestyle Our automotive products are making driving safer, greener and more entertaining Our Microcontrollers are everywhere making everything smarter and more secure Our smart power products are making more of our energy resources

6 Packaging Production & R&D Shenzhen - China Longgang - China Calamba Philippines Muar - Malaysia Toa Payoh - Singapore Kirkop - Malta Bouskoura - Morocco Corporate Packaging & Automation France / Italy / Malta / Singapore / Philippines / China Central PTM Singapore Toa Payoh Approximately employees located in 6 manufacturing locations 6 R&D centers ( CPA ) Over 400 different packages

7 BGA (Ball Grid Array) Platform Development & Advanced Packaging R&D CPA Grenoble, France Assembly Process R&D Copper wire dev. For low k wafers Flip-Chip dev. For low k wafers 3D TSV assembly (ST & Leti) Low k/ Ultra Low k Packaging solutions Package Design & BGA Substrate Technology New Product / Packaging Project Management Package Electrical Modeling & Measurement Assembly Design Rules Management 3D Advanced Packaging Wafer Level Solutions 7

8 Ceramic, BGA (Ball Grid Array) & Advanced Packaging Prototyping CPA Grenoble, France Best in class cycle time with Fab/EWS/Package test proximity Laminate & ceramic packages 12 inches wafer size capability SIP capability including SMD & FC 3D TSV assembly 1000m² clean room facilities Thin 50um Wire 36um pitch Fine Pitch Flip-Chip 80um 0.3mm BGA ball pitch Now open for external customers

9 BGA/ 2D & 3D Packaging Ecosystem 9 Presentation Title Package Dev/ customized solution Front-End R&D Group Engineering Packaging R&D FEBE compatibility First protos Internal BGA Manufacturing Flexibility OSATs BGA Manufacturing Grenoble Crolles cluster 6/6/2013

10 Content 10 STMicroelectronics presentation General presentation R&D STM Packaging Platforms covered at ST Packaging development for Advanced Cmos technologies Business segments Main requests Cu pillar for FC packages Cu RDL for WLPs Conclusions

11 «Moore s Law»: Miniaturization Baseline CMOS: CPU, Memory, Logic Packaging is a differentiation factor for new products Technology Competitive Advantage 11 «More than Moore»: Diversification Analog / RF Passives HV Power Sensors, Actuators Biochips 130nm SiP 90nm 65nm 45nm 32nm 22nm SoC Information processing Digital Content System-on-chip Interacting with people & environment Non-digital content System-in-package Beyond CMOS: Quantum Computing, Molecular Electronics, Spintronics VLSI PLATFORM Packaging is key enabler for both More Moore & More than Moore

12 Packaging Technology R&D 12 Sense MEMS and microphones (LGAs), Optical modules and Imagers towards BSI Power & BCD High dissipation, miniaturized packages (PSSO, QFNs) Digital with advanced CMOS Integration and miniaturization based on BGAs. Towards Flip Chip &WLP

13 LEAD FRAME based package Packaging technology roadmap DRIVING FORCES: Size, Integration, Pin Count, Power, Cost 13 Up to 100 pins PowerSO,PSSO High power System in Package (SIP) Hi-QUAD > 100 pins Medium power, Cost effective TQFPs-EP QFN > 100 pins QFP Medium power, Small size,(sip) Tapeless QFN-mr

14 Package footprint reduction (example) 14 Package footprint etqfp20x20 1 etqfp 20x I/O - 47% etq 20X20 QFN-mr 9X9 0.5 Ep-TQFP 14X I/O with Power bars & ground ring 180 connections - 68% 0.25 QFN-mr 9x9 124 I/O with power bars 180 connections Power Bar Ground Ring

15 Power Discrete Packaging Roadmap (Evolution vs. PFLAT, DSC, Hi Temp, Advanced D.A & Interconnection) H2Pak H.C. 2,3,6,7 L PFLAT Dual Side Cooling Thin wafers new process ClipPAK PFLAT 2x2 PolarPAK I2packFP SO-8 RIBBON DPak H.C. 2,3 L PFLAT 5x5 & 5x6 HV Hi Temp (SiC) Advanced Interconnections (GaN compatible) Bond / Mold Less SO-8 CLIP PSO-10 RIBBON Chip on Chip TO220/D2PAK Cold by pass PowerFLAT 5x6 Ribbon & Clip with 1,2 Islands PowerFLAT8x8 HV PSO-36 TO247 LGG Automotive OctaPack PFLAT AUTO Wettable Flanks Advanced Die Attach PFLAT 3.3x3.3

16 16 Presentation Title 06/06/2013

17 Content 17 STMicroelectronics presentation General presentation R&D STM Packaging Platforms covered at ST Packaging development for Advanced Cmos technologies Business segments Main requests Cu pillar for FC packages Cu RDL for WLPs Conclusions

18 Packaging Technology R&D 18 Sense MEMS and microphones (LGAs), Optical modules and Imagers towards BSI Power & BCD High dissipation, miniaturized packages (PSSO, QFNs) Digital with advanced CMOS Integration and miniaturization based on BGAs. Towards Flip Chip &WLP

19 Business segments (1/2) 19 Source: Prismark Consumer/ Portables: Mobiles/ smartphones Tablets Laptops, computers Portable gaming TV, Set Top boxes, displays, smart home

20 Automotive Safety Infotainment Business segments (2/2) Networking Imaging Imaging Signal Processor (smartphones, gaming) Microcontrollers General Purpose MCUs Secured MCUs

21 Percent of IC Package Value Add 100% Packaging Growth Trends in Value IC PACKAGE VALUE TREND Kc bp package value 21 90% Wire Bond (Leadframe/Module) 80% 70% 60% Wire Bond (BGA/CSP) 50% 40% Flip Chip DCA 30% 20% Flip Chip Package 10% 0% 3D TSV $6Bn 10% CAAGR $25Bn 6% CAAGR $59Bn Source: Prismark

22 BGA package STMicrolectronics (*) 22 Configuration Package type Description Pictures Single BGA PBGA 27² & 35² WB, molded PBGA FBGA 14² to 40² xfbga 2² to 23² xfbga 2² to 23² xfbga 2² to 23² POP 12² & 14² FC, not molded WB, molded FC, molded SIP, FC, WB, SMD,molded WB, molded FCBGA Matrix BGA POP 12² & 14² FC, not molded or molded (*): protos: all available in Grenoble pilot line, production: internal or external

23 Copper Pillars Packaging Roadmap Digital products From Wirebond to Flip Chip (Solder and Cu Pillar) and to 3D packaging Up to Wire Bonding BGA PBGA Solder Flip Chip BGA Fan In WLP FCBGA Cu Pillar Flip Chip BGA Fan Out WLP FCBGA Emerging technolog 3D ies Advanced Packaging PoP BGA Std SIP PoP PoP Fan Out WLP 3D,TSV, Si interposer STACK BGA FLIP CHIP FC CuP WLCSP

24 BGA - Thickness challenge 24 TFBGA 1.2mm VFBGA 1.0mm WFBGA 0.8mm UFBGA 0.65mm Available for production (*) (*): internal or external Presentation Title 06/06/2013

25 Packaging challenges 25 Needs for density, miniaturization, Integration Power management Cost

26 Wafer Level Fan-In Fan-Out Flip-Chip Copper Pillar Flip-Chip Solder Bump Copper Wire bond Packaging road map Digital Cmos Cmos040 Cmos032 Cmos028 Cmos020 Cmos014 Cmos040 Cmos032 Cmos028 Cmos020 Cmos014 3D,TSV, Si interposer Cmos040 Fan-In Cmos040 & above Fan-Out Cmos 028 Fan-Out Cmos020 Fan-Out Cmos014 Fan-Out

27 Flip underfill Flip - chip Packaging design & Simulation 27 Electrical Thermal 3D structure extraction Mechanical Convection & Radiation Conduction Convection & Radiation Mold compound Substrate barre1_i_barre1 V001 V003 barre1_sink 1 8 L001 R L003 R Cu plane Die flag Die Die Crack Thermal via Flip Chip Solder resist C001 F001 F003 5 C001_002 V002 V004 9 L R L R barre2_i_barre2 barre2_sink F002 5 C002 F004 Underfill Delam Underfill Crack

28 Packaging Materials 28 Packages Materials in packaging play key roles in Performance Power consumption and dissipation Price 2 major materials in any packages: Carriers: Leadframes / Substrates Interconnects: wires, bumps, RDL The right choice of materials for an optimized 3Ps Interactions with die and carriers

29 Packaging Materials 29 Packages Interconnections Carriers

30 30 Interconnection today in production Wire bonding process is still the predominant Why? Mature infrastructure Conservative business segments long product life time Mature design tools Huge technical improvements during last 20 years 90 s 10 s Bonding speed (w/s) 4-5 Up to 20 # I/O per device < Min Au wire diameter 32um 15um Min bond pad pitch >200um 40um Wire bonder price >120 k$ <70k$ Despite its weak overall performance vs flip-chip UPH Electrical performances

31 The move to Cu wire in packaging 31 STMicroelectronics drive into Cu wire Contributing to Price Performance Power Consumption Reduced carbon impact vs gold

32 Leading in Copper 32 Addressing the challenges of various technologies In high volume production 2009 : Length of gold wire converted to copper can circle the earth! 2012 : Length of gold wire converted to copper can reach the moon! Achieved!

33 Cu for Wirebonding 33 Advantages Drawbacks 1. Low cost 1. Hardness 2. Good electrical property 2. Sensitive to oxidation 3. Good thermal conductivity 3. Sensitive to corrosion 4. Compatible with thermo-sonic bonding process 5. Low Inter Metallic growth Fusion Temperature C Boiling Temperature 2562 C Electrical Conductivity S.m -1 Thermal Conductivity 401W.m -1.K -1 Thermal Capacity 380J.Kg -1.K -1

34 Cu Wires types 34 2 major kinds of copper wire - Bare Cu (4N), - Pd coated (4N-CuPd) Gold Pure copper CuPd Au Bare Cu CuPd Cost Electrical conductivity Thermal conductivity Hardness Oxidation Workability Reliability (Humid) Reliability (hot temperature) BSOB bonding (die to die)

35 Cu Wires types 35 2 major kinds of copper wire - Bare Cu (4N), - Pd coated (4N-CuPd) Gold Pure copper CuPd Pitch>50µm, opening>50µm Pitch =50µm, opening =44µm Pitch <50µm, opening<44µm Die to die bonding, pitch>50 Die to die bonding, pitch<50 Bare Cu 0.8mils 0.7mils x CuPd 0.6mils x Bare Cu wire: CuPd wire: preferred in mass production for dedicated line used for aggressive pitch and high line conversions rate

36 Cu Wire: Equipments & Toolings Wire bonding equipments Copper kit to create neutral environment to avoid ball oxidation. 36 Cu FAB formed in air Cu FAB formed in N 2 H 2 Forming gas ratio (95% N 2 ), proper gas flow rate needed for spherical ball shape Wire bonder selections depending on application complexity (BPP, BPO, looping) Wire bonding Capillaries Capillary life time to improve with harder ceramic and special tip treatments

37 Billions of parts produced in Cu Wire Wide variety of Packages & Applications 37 Industrial Consumer Wireless Computers & Peripherals Automotive 12,000 2,000 10,000 1,500 8,000 M units produced in Cu wire / year Cumulated M units produced 6,0001,000 in Cu wire Cumulated M Units produced in Cu wire 2,500 SMD Flat Diodes Hi-Quad By Package: BGA BGA Hi-Quad Hi-Quad PDIP PDIP Power Plastic Power PowerSO Plastic PowerSO PowerSSO PowerSSO QFN PowerSO PowerSSO QFNQFP 4, QFPSIL Power PDIP Plastic 2,000 SILSMD Flat Diodes & 0 SO SMD SO Watts Flat Diodes End 0 SOTSSOP Year May TSSOP Watts Year Watts BGA QFP QFN TSSOP By Package:

38 Billions of parts produced in Cu Wire Wide variety of Front End technologies 38 12,000 Cumulated M Units Cumulated M units produced produced in Cu in wire Cu wire 10,000 8,000 6,000 4,000 2,000 By Wafer Tech: BCD BIP CMOS Misc P.MOSFET VIPOWER Year

39 Thousands of Kms of Cu Wire Wide variety of Wire types 39 Earth's Circumference : Earth-Moon: km ~ km 800, , , , mils < 1mil 0.6mil By Dia (mil) Cumulated km of purchased Cu wires Cumulated km of purchased Cu wires 400, , , , Year

40 40 Wireless interconnection trend is one of the more demanding business segment in term of miniaturization, integration, electrical performances & cost 5% 15% 25% 80% 45% 30% More change in 2 years than during last 20 years

41 Ultra Low K Silicon Characterization : White Bumps WB = White Bump = Ultra Low K Delamination (too high stress below bump) Jerome Lopez- STM - Semi Europe

42 FINE PITCH / BUMPS LARGE PITCH / BUMPS Flip Chip Process: Solder Bumps Large Pitch Stress Reduction MR Mass Reflow (Capillary UnderFill) vs TC-NCP (Thermo-Compression) Mass Reflow Solder, Pitch 140µm PROS Mature (process,materials) Lower stress (soft bump, larger UBM) Sourcing flexibility CONS Substrate Cost (more layers, SOP) & Layout Large bump pitch Low stress due to large UBM & soft solder bump material 42 Cu pillars Large Pitch MR Cu pillars Fine Pitch TC Mass Reflow Cu Pillar, Pitch 125µm Thermo Compression Cu Pillar, Pitch 50µm (40um for 3D) Mature (process,materials) Limited stress (larger UBM) Reduce O/S risk vs Solder Current density per bump Sourcing flexibility Miniaturization Reliability & Yield Higher bump density & finer bump pitch <80um Substrate Cost (same as Solder Bump one) Large bump pitch & UBM size Stress induced by Cu Pillar is reduced thanks to usage of very large UBM size Substrate Cost & Layout Assy Cost & UPH Lower Sourcing flexibility No reflow, vertical stress with Thermo-Compression bond force NCPaste applied before die attach, absorbing part of stress. Cu pillars Fine Pitch Mass Reflow Cu Pillar, Pitch 80µm Mature (process,materials) Substrate & Assy Cost Sourcing flexibility Higher stress at bump area Sensitive to unsolder MR Reflow generates stress for bumps during cooling : Si & Substrate have CTE, stress on bumps without protection The larger the die, the more CTE stress mismatch (die to substrate) The more space available for pad pitch solder bumps rather than Pillars Die area (mm2)

43 Current status Target Q Stress Reduction Solder Bumps Large Pitch MR Flip Chip process vs Die Size Road Map Recommended Under analysis To be avoided Current Full confidence border Q4 13 Target confidence border 43 Cu pillars Large Pitch MR Cu pillars Fine Pitch TC Cu pillars Fine Pitch MR The larger the die, the more CTE stress mismatch (die to substrate) The more space available for pad pitch solder bumps rather than Pillars Die area (mm2)

44 Towards Cu Pillar Bumps for Flip Chip 44 Solder bumps Cu Pillar Bumps 130 µm < 80 µm Si Al SiN

45 Towards Cu Pillar Bumps for Flip Chip 45 Cu Pillar Bumps Higher density of interconnections allowed with finer pitch Good electrical properties Package performance increase < 80 µm High electro-migration performance Higher standoff for underfill process Higher thermal fatigue Lower substrate cost / solder mask

46 100µm Pitch Min. 230µm Pitch Towards Cu Pillar Bumps for Flip Chip 46 Solder bumps Cu Pillar Bumps 130 µm < 80 µm 230µm Pitch Solder Bump SMD (SOP) 140µm Pitch Pillar Bump NSMD (OSP)

47 100µm Pitch Min. 230µm Pitch Towards Cu Pillar Bumps for Flip Chip 47 Solder bumps Cu Pillar Bumps Substrate: 50% of package cost 130 µm Allows peripheral bump pitch routing relaxed Line / Space + less layers Cheap finishing for CUF/MUF (OSP) 230µm Pitch Solder Bump SMD (SOP) 140µm Pitch Pillar Bump NSMD (OSP)

48 Cu Pillars packaging 48 Cu Pillar interconnections on BGA substrates FC CuP Cu Pillar interconnections on 3D / Die to Die

49 ST s competitiveness moving to 3D Jean Michailos - STM - European 3D TSV Summit 2013

50 3D integration environment = ST strength Jean Michailos - STM - European 3D TSV Summit 2013

51 ST first step in 2.5D TSV WL camera Jean Michailos - STM - European 3D TSV Summit 2013

52 3D main technical challenges Front side processes TSV etching (depth & uniformity) TSV Cu filling Portable to advanced technology nodes (FDSOI) Back side processes Carrier temporary bonding & thin wafer de-bonding Jean Michailos - STM - European 3D TSV Summit 2013

53 3D main technical challenges 3D Package assembly Thin die sawing, pick & place, warpage, non solder Jean Michailos - STM - European 3D TSV Summit 2013

54 Analog/digital partitioning Jean Michailos - STM - European 3D TSV Summit 2013

55 Memory on Application Processor Jean Michailos - STM - European 3D TSV Summit 2013

56 Further development axes Jean Michailos - STM - European 3D TSV Summit 2013

57 ST status & perpectives Jean Michailos - STM - European 3D TSV Summit 2013

58 58 Wafer Level Packaging Fan In-WLP Fan Out-WLP (ewlb) Solder ball UBM (Under Bump Metallurgy) RDL (Al,Cu) Si Chip Solder ball Cu-RDL Si Chip EMC Courtesy of Infineon/ Stats-Chippac/ ST 2010

59 59 Fan-out WLP / 2-side Pre-formed PCB Bar 8x8 Fan-out 12x12 Fan-out Laser drill and pasted filling epop Package epop X-section 180um 450um

60 3D-eWLB / 3D-IC Package Roadmap Single Sided, Single Chip Double Sided, Single or Multi-Chip F2F or Multichip SoW PoP F2F + SoW SiP on Wafer (SoW) F2F + FO-WLP Single Chip Multichip Multichip + SoW Courtesy of Infineon/ Stats-Chippac/ ST

61 Interconnections The Interconnections trends for new Packaging 61 Sense & Power Digital Sensors Power Adv. QFNs WLPs WB BGAs FC BGAs 3D IC Cu wires Cu Pillars Cu RDL

62 Content 62 STMicroelectronics presentation General presentation R&D STM Packaging Platforms covered at ST Packaging development for Advanced Cmos technologies Business segments Main requests Cu pillar for FC packages Cu RDL for WLPs Conclusions

63 Conclusions 63 An overview of packaging trends was presented Packaging is a differentiation factor for new products Interconnection is evolving fast WB no more considered for advanced Cmos technologies Copper metal is predominant Main dev/ activities: FC Cu pillar 3D 3D & WL combination Team work & anticipation are fondamendal for success Co-design FEBEcomp activities Electrical, thermal & thermo-mechanical modelling

64 64 Packaging by ST Thank You

65 65

2016, Amkor Technology, Inc.

2016, Amkor Technology, Inc. 1 Standardization of Packaging for the Internet of Things Adrian Arcedera l VP of MEMS and Sensor Products 2 About Amkor Technology Amkor Technology, Inc. is one of the world's largest and most accomplished

More information

2016, Amkor Technology, Inc.

2016, Amkor Technology, Inc. 1 Standardization of Packaging for the Internet of Things Adrian Arcedera l VP of MEMS and Sensor Products 2 About Amkor Technology Amkor Technology, Inc. is one of the world's largest and most accomplished

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering Advanced WLP Platform for High-Performance MEMS Presented by Dean Spicer, Director of Engineering 1 May 11 th, 2016 1 Outline 1. Application Drivers for High Performance MEMS Sensors 2. Approaches to Achieving

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

Future trends for SiP In Medical Implant Applications

Future trends for SiP In Medical Implant Applications Future trends for SiP In Medical Implant Applications Piers Tremlett, Zarlink Semiconductor NMI at TWI, 12 Dec 07 A case study This presentation uses Zarlink s Medical RF device To consider potential embedded

More information

TN0991 Technical note

TN0991 Technical note Technical note Description of WLCSP for STMicroelectronics EEPROMs and recommendations for use Introduction This document describes the 5 and 8-bump WLCSPs (wafer level chip size package) used for STMicroelectronics

More information

RFSOI and FDSOI enabling smarter and IoT applications. Kirk Ouellette Digital Products Group STMicroelectronics

RFSOI and FDSOI enabling smarter and IoT applications. Kirk Ouellette Digital Products Group STMicroelectronics RFSOI and FDSOI enabling smarter and IoT applications Kirk Ouellette Digital Products Group STMicroelectronics ST in the IoT already Today 2 Kirk Ouellette More then Moore Workshop - Shanghai - March 17,

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr January 2012 Written by: Maher SAHMIMI DISCLAIMER :

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1 Presentation Outline The Internet of Things (IoT) as a market

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

InvenSense Fabless Model for the MEMS Industry

InvenSense Fabless Model for the MEMS Industry InvenSense Fabless Model for the MEMS Industry HKSTP Symposium Aug 2016 InvenSense, Inc. Proprietary Outline MEMS Market InvenSense CMOS-MEMS Integration InvenSense Shuttle Program and Process MEMS MARKET

More information

Spring Probes and Probe Cards for Wafer-Level Test. Jim Brandes Multitest. A Comparison of Probe Solutions for an RF WLCSP Product

Spring Probes and Probe Cards for Wafer-Level Test. Jim Brandes Multitest. A Comparison of Probe Solutions for an RF WLCSP Product Session 6 AND, AT THE WAFER LEVEL For many in the industry, performing final test at the wafer level is still a novel idea. While providing some much needed solutions, it also comes with its own set of

More information

Technology Overview LTCC

Technology Overview LTCC Sheet Code RFi0604 Technology Overview LTCC Low Temperature Co-fired Ceramic (LTCC) is a multilayer ceramic substrate technology that allows the realisation of multiple embedded passive components (Rs,

More information

X-ray Inspection. Series.

X-ray Inspection. Series. X-ray Inspection Series www.nordsondage.com 2 Nordson DAGE Quadra X-ray Inspection 3 Nordson DAGE Quadra X-ray Inspection Nordson DAGE Quadra X-ray Inspection 3 Your X-ray Inspection Partner Seeing is

More information

Driver ICs Push Evolution to Next-Generation FPD

Driver ICs Push Evolution to Next-Generation FPD Research Brief Driver ICs Push Evolution to Next-Generation FPD Abstract: In the irreversible shift to built-in driver integrated circuits for flat-panel displays, vendors are expected to take a more compound

More information

SEMI 大半导体产业网

SEMI 大半导体产业网 STATE-OF-THE-ART 3D INTEGRATION GAN HUI, STEVEN OUTLINE Introduction about IMEC Application Areas Driven 3D Integration 3D Integration Technology Conclusions 1 IMEC 1984 2010 1984 Established by state

More information

CMP and Current Trends Related to Advanced Packaging

CMP and Current Trends Related to Advanced Packaging CMP and Current Trends Related to Advanced Packaging Robert L. Rhoades, Ph.D. NCCAVS TFUG-CMPUG Joint Meeting June 7, 2017 Semiconductor Equipment Spare Parts and Service CMP Foundry Foundry Click to edit

More information

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION 3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION 23.08.2018 I DAVID ARUTINOV CONTENT INTRODUCTION TRENDS AND ISSUES OF MODERN IC s 3D INTEGRATION TECHNOLOGY CURRENT STATE OF 3D INTEGRATION SUMMARY

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

Verification of HBM through Direct Probing on MicroBumps

Verification of HBM through Direct Probing on MicroBumps Verification of HBM through Direct Probing on MicroBumps FormFactor Sung Wook Moon SK hynix Outline HBM market HBM test flow Device structure overview Key test challenges addressed Signal delivery and

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS January 23, 2003 John Wawrzynek Spring 2003 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 Central Texas Electronics Association Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 A review of the latest advancements in Acoustic Micro-Imaging for the non-destructive inspection

More information

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding Ultrasonic Technology for Advanced Package Inspection A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding

More information

Title: STMicroelectronics NIR Camera Sensor Pages: 97 Date: December 2017 Format: PDF & Excel file Price: Full report: EUR 3,490

Title: STMicroelectronics NIR Camera Sensor Pages: 97 Date: December 2017 Format: PDF & Excel file Price: Full report: EUR 3,490 STMicroelectronics Near Infrared Camera Sensor in the Apple iphone X The first NIR camera sensor with multiple innovations based on imager-silicon-oninsulator substrate from SOITEC, supplied and produced

More information

The Alice Silicon Pixel Detector (SPD) Peter Chochula for the Alice Pixel Collaboration

The Alice Silicon Pixel Detector (SPD) Peter Chochula for the Alice Pixel Collaboration The Alice Silicon Pixel Detector (SPD) Peter Chochula for the Alice Pixel Collaboration The Alice Pixel Detector R 1 =3.9 cm R 2 =7.6 cm Main Physics Goal Heavy Flavour Physics D 0 K π+ 15 days Pb-Pb data

More information

Enabling Analog Integration. Paul Kempf

Enabling Analog Integration. Paul Kempf TM Enabling Analog Integration Paul Kempf Overview The New Analog Analog in New Markets Opportunity in Integrated Analog/RF Outsourcing Trends in Analog Enabling Functional Integration Technology Requirements

More information

AIXTRON in EXCILIGHT project

AIXTRON in EXCILIGHT project AIXTRON SE AIXTRON in EXCILIGHT project Gintautas Simkus ABOUT AIXTRON 2 Who we are Headquarter based in Herzogenrath, Germany Worldwide presence with 14 sales/representatives offices and production facilities

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution

Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution S. Angles STMicroelectronics R. Vallauri Technoprobe Background Overview ST Qualification of TPEG MEMS T3 probing technology

More information

Deep Silicon Etch Technology for Advanced MEMS Applications

Deep Silicon Etch Technology for Advanced MEMS Applications Deep Silicon Etch Technology for Advanced MEMS Applications Shenjian Liu, Ph.D. Managing Director, AMEC AMEC Company Profile and Product Line-up AMEC HQ, R&D and MF Facility in Shanghai AMEC Taiwan AMEC

More information

Application Note AN SupIRBuck MCM Power Quad Flat No-lead (PQFN) Inspection Application Note

Application Note AN SupIRBuck MCM Power Quad Flat No-lead (PQFN) Inspection Application Note Application Note AN-1133 SupIRBuck MCM Power Quad Flat No-lead (PQFN) Inspection Application Note Table of Contents Page Inspection techniques... 3 Examples of good assembly... 3 Summary of rejection criteria...

More information

Smart. Connected. Energy-Friendly.

Smart. Connected. Energy-Friendly. www.silabs.com Smart. Connected. Energy-Friendly. Miniaturizing IoT Designs Tom Nordman, Pasi Rahikkala This whitepaper explores the challenges that come with designing connected devices into increasingly

More information

Prototyping: Considerations From the Bread Board for the Final Product

Prototyping: Considerations From the Bread Board for the Final Product Prototyping: Considerations From the Bread Board for the Final Product A. Jensen Newman, Ph.D., EIT Principal Investigator: Power, Energy, Controls, and Electronics UT Dallas Applied Research Center 716-544-3184

More information

PCN Product/Process Change Notification

PCN Product/Process Change Notification STMicroelectronics IPD - ASD & IPAD Division 1 BU Diodes & Rectifiers (1) IPD: Industrial & Power Discretes - ASD: Application Specific Device - IPAD: Integrated Passive and Active Devices PCN Product/Process

More information

Meeting the challenge of accurately assembling active optical cables

Meeting the challenge of accurately assembling active optical cables More than Precision Meeting the challenge of accurately assembling active optical cables No one would dispute the fact that active optical cable (AOC) and Silicon Photonics technologies are getting tremendous

More information

TCP-3039H. Advance Information 3.9 pf Passive Tunable Integrated Circuits (PTIC) PTIC. RF in. RF out

TCP-3039H. Advance Information 3.9 pf Passive Tunable Integrated Circuits (PTIC) PTIC. RF in. RF out TCP-3039H Advance Information 3.9 pf Passive Tunable Integrated Circuits (PTIC) Introduction ON Semiconductor s PTICs have excellent RF performance and power consumption, making them suitable for any mobile

More information

MOST - Roadmap Physical Layer & Connectivity from 150Mbps to 5Gbps

MOST - Roadmap Physical Layer & Connectivity from 150Mbps to 5Gbps MOST - Roadmap Physical Layer & Connectivity from 150Mbps to 5Gbps 13th MOST(R) Interconnectivity Conference Asia on November 15, 2012 in Seoul, South Korea Andreas Engel Manager Advanced Infotainment

More information

PRODUCT/PROCESS CHANGE NOTIFICATION

PRODUCT/PROCESS CHANGE NOTIFICATION PRODUCT/PROCESS CHANGE NOTIFICATION PCN IPD-IPC/12/7384 Dated 20 Jul 2012 Copper Wire Bonding Implementation on MLP package in Carsem Malaysia and Carsem China 1/36 PCN IPD-IPC/12/7384 - Dated 20 Jul 2012

More information

SMT Encoder for High Performance, High Volume Designs Small Size High Resolution Low Cost ChipEncoder Reflective Surface Mount Encoder Features

SMT Encoder for High Performance, High Volume Designs Small Size High Resolution Low Cost ChipEncoder Reflective Surface Mount Encoder Features SMT Encoder for High Performance, High Volume Designs Small Size 7.0mm (W) x 11.0mm (L) x 3.1mm (H) High Resolution Linear: 10μm or 1μm per quadrature count Rotary: 3,300 to 327,000 quadrature counts per

More information

MAAP DIEEV1. Ka-Band 4 W Power Amplifier GHz Rev. V1. Features. Functional Diagram. Description. Pin Configuration 2

MAAP DIEEV1. Ka-Band 4 W Power Amplifier GHz Rev. V1. Features. Functional Diagram. Description. Pin Configuration 2 Features Frequency Range: 32 to Small Signal Gain: 18 db Saturated Power: 37 dbm Power Added Efficiency: 23% % On-Wafer RF and DC Testing % Visual Inspection to MIL-STD-883 Method Bias V D = 6 V, I D =

More information

BAL-NRF01D3. 50 ohm balun transformer for 2G45 ISM matched Nordic s chipset: nrf24le1 QFN32, nrf24ap2-1ch and nrf24ap2-8ch. Features.

BAL-NRF01D3. 50 ohm balun transformer for 2G45 ISM matched Nordic s chipset: nrf24le1 QFN32, nrf24ap2-1ch and nrf24ap2-8ch. Features. 50 ohm balun transformer for 2G45 ISM matched Nordic s chipset: nrf24le1 QFN32, nrf24ap2-1ch and nrf24ap2-8ch Features 50 nominal input / conjugate match to nrf24le1 QFN32, nrf24ap2-1ch and nrf24ap2-8ch

More information

Illumination Challenges in Non- Industrial Vision Applications. Simon Stanley Managing Director ProPhotonix IRL Ltd

Illumination Challenges in Non- Industrial Vision Applications. Simon Stanley Managing Director ProPhotonix IRL Ltd Illumination Challenges in Non- Industrial Vision Applications Simon Stanley Managing Director ProPhotonix IRL Ltd ProPhotonix designs and manufactures high-quality LED systems and laser modules for the

More information

In the September/October issue of Small Times

In the September/October issue of Small Times Thinking outside the chip: MEMS-based systems solutions by Roger H. Grace, Roger Grace Associates In the September/October issue of Small Times (p.32) I introduced a MEMS Commercialization Report Card

More information

Capacity increase for LQFP 48 7x7 products listed below

Capacity increase for LQFP 48 7x7 products listed below PRODUCT/PROCESS CHANGE NOTIFICATION PCN 9484 - Detailed description Capacity increase for LQFP 48 7x7 products listed below MMS - Microcontrollers Division (MCD) What are the changes? On LQFP 48 7x7 products

More information

Alien Technology Corporation White Paper. Fluidic Self Assembly. October 1999

Alien Technology Corporation White Paper. Fluidic Self Assembly. October 1999 Alien Technology Corporation White Paper Fluidic Self Assembly October 1999 Alien Technology Corp Page 1 Why FSA? Alien Technology Corp. was formed to commercialize a proprietary technology process, protected

More information

HB LEDs & OLEDs. Complete thin film process solutions

HB LEDs & OLEDs. Complete thin film process solutions HB LEDs & OLEDs Complete thin film process solutions Get off to a flying start for all your LED thin film deposition and etch processes From 2 inch to 8 inch Manual or fully automated substrate handling

More information

Low Temperature/Low Load Damage Free Flip Chip Technology for NEMS/MEMS, IC and IoT Applications. CONNECTEC JAPAN Corporation CEO Katsunori, Hirata

Low Temperature/Low Load Damage Free Flip Chip Technology for NEMS/MEMS, IC and IoT Applications. CONNECTEC JAPAN Corporation CEO Katsunori, Hirata Low Temperature/Low Load Damage Free Flip Chip Technology for NEMS/MEMS, IC and IoT Applications CONNECTEC JAPAN Corporation CEO Katsunori, Hirata CONNECTEC JAPAN Corporation Company Profile Company Name

More information

Outline. packaging. 1. ASE Group introduc9on. 2. IoT, defini9on and perspec9ves. 3. Supply chain challenges. 4. Hardware integra9on challenges

Outline. packaging. 1. ASE Group introduc9on. 2. IoT, defini9on and perspec9ves. 3. Supply chain challenges. 4. Hardware integra9on challenges Outline 1. ASE Group introduc9on 2. IoT, defini9on and perspec9ves 3. Supply chain challenges 4. Hardware integra9on challenges 5. Low cost and small size with SiP and advanced MEMS packaging 6. Conclusion

More information

EE C247B ME C218 Introduction to MEMS Design Spring 2017

EE C247B ME C218 Introduction to MEMS Design Spring 2017 EE C247B ME C218 Introduction to MEMS Design Spring 2017 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture Module

More information

TA0311 TECHNICAL ARTICLE High Temperature Electronics 1 Introduction 2 Why the need for high-temperature semiconductors?

TA0311 TECHNICAL ARTICLE High Temperature Electronics 1 Introduction 2 Why the need for high-temperature semiconductors? TECHNICAL ARTICLE High Temperature Electronics 1 Introduction In the semiconductor world, there are numerous products specified with an industrial temperature range (-40/+85 C), and somewhat fewer with

More information

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders Beatrice Beyer Figure 1. (OLED) microdisplay with a screen diagonal of 16 mm. Figure 2. CMOS cross section with OLED on top. Usually as small as fingernails, but of very high resolution Optical system

More information

STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes

STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes http://cmp.imag.fr STMicroelectronics Technology offers at CMP: 160nm CMOS: BCD8SP 1994 at CMP 160nm

More information

BTC and SMT Rework Challenges

BTC and SMT Rework Challenges BTC and SMT Rework Challenges Joerg Nolte Ersa GmbH Wertheim, Germany Abstract Rising customer demands in the field of PCB repair are a daily occurrence as the rapid electronic industry follows new trends

More information

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Single Die Fab Yield will drive Cost Equation. Yield of the device to be stacked 100% 90% 80% Yield of

More information

Micro-Electro-Mechanical Systems MEMs Sensors: Market Strategies and Forecasts, Worldwide,

Micro-Electro-Mechanical Systems MEMs Sensors: Market Strategies and Forecasts, Worldwide, Micro-Electro-Mechanical Systems MEMs Sensors: Market Strategies and Forecasts, Worldwide, 2018-2024 Table of Contents Micro-Electro-Mechanical Systems MEMs Sensors: Executive Summary The study is designed

More information

Structure, Process & Cost Analysis

Structure, Process & Cost Analysis Reverse Costing Structure, Process & Cost Analysis Heimann Sensor 32 x 32-array thermopile LWIR image sensor with silicon lens A small, easy to use, low-power, cheap non-contact temperature measurement

More information

Analog High Voltage ASIC Design Techniques

Analog High Voltage ASIC Design Techniques ASIC Technology Symposium 2009 Analog High Voltage ASIC Design Techniques Otto Manck January 20th 2009 Israel Air Force Center, 15 Jabotinski St. Hertzelia Topics Introduction Laws and rules of microelectronics

More information

2-Axis Gyroscopes for Optical Image Stabilization: STMicroelectronics L2G2IS and InvenSense IDG-2030

2-Axis Gyroscopes for Optical Image Stabilization: STMicroelectronics L2G2IS and InvenSense IDG-2030 2-Axis Gyroscopes for Optical Image Stabilization: STMicroelectronics L2G2IS and InvenSense IDG-2030 Complete reports and comparison of the latest generation products for smartphones from the leading optical

More information

IC TECHNOLOGY Lecture 2.

IC TECHNOLOGY Lecture 2. IC TECHNOLOGY Lecture 2. IC Integrated Circuit Technology Integrated Circuit: An integrated circuit (IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor

More information

STPTIC STPTIC. Parascan tunable integrated capacitor. Applications. Description. Features STPTIC. Benefit

STPTIC STPTIC. Parascan tunable integrated capacitor. Applications. Description. Features STPTIC. Benefit Parascan tunable integrated capacitor Applications Datasheet - production data Cellular Antenna open loop tunable matching network in multi-band GSM/WCDMA/LTE mobile phone Open loop tunable RF filters

More information

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking.

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking. EE141-Fall 2011 Digital Integrated Circuits Lecture 2 Clock, I/O Timing 1 4 Administrative Stuff Pipelining Project Phase 4 due on Monday, Nov. 21, 10am Homework 9 Due Thursday, December 1 Visit to Intel

More information

Scaling up of the Iris AO segmented DM technology for atmospheric correction

Scaling up of the Iris AO segmented DM technology for atmospheric correction Scaling up of the Iris AO segmented DM technology for atmospheric correction Michael A. Helmbrecht, Ph.D., Min He, Carl Kempf, Ph.D., Patrick Rhodes Iris AO, Inc., 2680 Bancroft Way, Berkeley, CA 94704

More information

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World The World Leader in High Performance Signal Processing Solutions Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World Dave Robertson-- VP of Analog Technology

More information

RF V W-CDMA BAND 2 LINEAR PA MODULE

RF V W-CDMA BAND 2 LINEAR PA MODULE 3 V W-CDMA BAND 2 LINEAR PA MODULE Package Style: Module, 10-Pin, 3 mm x 3 mm x 1.0 mm Features HSDPA and HSPA+ Compliant Low Voltage Positive Bias Supply (3.0 V to 4.35 V) +28.5 dbm Linear Output Power

More information

The Silicon Pixel Detector (SPD) for the ALICE Experiment

The Silicon Pixel Detector (SPD) for the ALICE Experiment The Silicon Pixel Detector (SPD) for the ALICE Experiment V. Manzari/INFN Bari, Italy for the SPD Project in the ALICE Experiment INFN and Università Bari, Comenius University Bratislava, INFN and Università

More information

24. Scaling, Economics, SOI Technology

24. Scaling, Economics, SOI Technology 24. Scaling, Economics, SOI Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 December 4, 2017 ECE Department, University

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

TGA4541-SM Ka-Band Variable Gain Driver Amplifier

TGA4541-SM Ka-Band Variable Gain Driver Amplifier Applications VSAT Point-to-Point Radio Test Equipment & Sensors Product Features 441 1347 717 QFN 6x6mm L Functional Block Diagram Frequency Range: 28 31 GHz Power: 23 dbm P1dB Gain: 33 db Output TOI:

More information

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website : 21 rue La Noue Bras de Fer - 44200 Nantes - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr 2012 September - Version 1 Written by: Maher Sahmimi DISCLAIMER

More information

Advanced Sensor Technologies

Advanced Sensor Technologies Advanced Sensor Technologies Jörg Amelung Fraunhofer Institute for Photonics Microsystems Name of presenter date Sensors as core element for IoT Next phase of market grow New/Advanced Requirements based

More information

21 rue La Nouë Bras de Fer Nantes - France Phone : +33 (0) website :

21 rue La Nouë Bras de Fer Nantes - France Phone : +33 (0) website : 21 rue La Nouë Bras de Fer - 44200 Nantes - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr November 2012 - Version 1 Written by: Romain FRAUX DISCLAIMER :

More information

Features. = +25 C, Input Drive Level = +15 dbm. Parameter Min. Typ. Max Min. Typ. Max. Units. Frequency Range Input GHz

Features. = +25 C, Input Drive Level = +15 dbm. Parameter Min. Typ. Max Min. Typ. Max. Units. Frequency Range Input GHz Typical Applications The is ideal for: Microwave Test Equipment Microwave/mmWave Radios E-Band Radios Military and Space Functional Diagram Features Passive: No DC Bias Required Conversion Loss: 12 dbm

More information

I-fuse TM : Best OTP for FD-SOI and Sub-14nm

I-fuse TM : Best OTP for FD-SOI and Sub-14nm I-fuse TM : Best OTP for FD-SOI and Sub-14nm Shine Chung, Chairman May, 2017 Attopsemi Technology 1A2-A1 No. 1 Li-Hsin 1st Rd, Hsinchu, Taiwan 300-76 (886) 3-666-3150x211, (886) 920-566-218 1 1 About Attopsemi

More information

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors Albert van Breemen Image sensors today 1 Dominated by silicon based technology on

More information

Forward-Looking Statements

Forward-Looking Statements Forward-Looking Statements Information in this presentation regarding MagnaChip s forecasts, business outlook, expectations and beliefs are forward-looking statements within the meaning of the Private

More information

MicroLED Displays: Global Trends & Opportunities for Equipment and Material Suppliers

MicroLED Displays: Global Trends & Opportunities for Equipment and Material Suppliers Picture: Sony From Technologies to Market MicroLED Displays: Global Trends & Opportunities for Equipment and Material Suppliers SEMICON EUROPA Jean-Christophe ELOY - CEO - Yole Développement 2017 AGENDA

More information

FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect. MEMS Packaging

FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect. MEMS Packaging FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect MEMS Packaging Lecture topics Introduction to MEMS packaging concerns: Why MEMS packaging are more challenging than IC packaging

More information

PRODUCT INFORMATION LETTER

PRODUCT INFORMATION LETTER PRODUCT INFORMATION LETTER PIL APG-AED/10/5912 Notification Date 09/23/2010 L4949E Lead-Free migration to Advanced uppf frame 1/32 PIL APG-AED/10/5912 - Notification Date 09/23/2010 Production process

More information

TGL2210-SM_EVB GHz 100 Watt VPIN Limiter. Product Overview. Key Features. Applications. Functional Block Diagram. Ordering Information

TGL2210-SM_EVB GHz 100 Watt VPIN Limiter. Product Overview. Key Features. Applications. Functional Block Diagram. Ordering Information .5 6 GHz Watt VPIN Limiter Product Overview The Qorvo is a high-power receive protection circuit (limiter) operating from.5-6ghz. Capable of withstanding up to W incident power levels, the allows < dbm

More information

TGA2239. Product Description. Product Features. Functional Block Diagram. Applications. Ordering Information. Part No.

TGA2239. Product Description. Product Features. Functional Block Diagram. Applications. Ordering Information. Part No. Product Description Qorvo s is a Ku-band, high power MMIC amplifier fabricated on Qorvo s production.1 um GaN on SiC process. The operates from 13 1. GHz and provides a superior combination of power, gain

More information

S195AVGC-2BM 1.6x0.8mm, Red & Yellow Green LED Surface Mount Bi-Color Chip LED Indicator

S195AVGC-2BM 1.6x0.8mm, Red & Yellow Green LED Surface Mount Bi-Color Chip LED Indicator Features: Package in 8mm tape on 7 diameter reel. Compatible with automatic placement equipment. Compatible with infrared and vapor phase reflow solder process. Bi-color type. Color: Red & Yellow Green.

More information

Challenges in the design of a RGB LED display for indoor applications

Challenges in the design of a RGB LED display for indoor applications Synthetic Metals 122 (2001) 215±219 Challenges in the design of a RGB LED display for indoor applications Francis Nguyen * Osram Opto Semiconductors, In neon Technologies Corporation, 19000, Homestead

More information

Forward-Looking Statements

Forward-Looking Statements Forward-Looking Statements Information in this presentation regarding MagnaChip s forecasts, business outlook, expectations and beliefs are forward-looking statements within the meaning of the Private

More information

Features. = +25 C, IF = 1GHz, LO = +13 dbm*

Features. = +25 C, IF = 1GHz, LO = +13 dbm* v2.312 HMC6 MIXER, 24-4 GHz Typical Applications Features The HMC6 is ideal for: Test Equipment & Sensors Microwave Point-to-Point Radios Point-to-Multi-Point Radios Military & Space Functional Diagram

More information

3M High-Speed Solutions

3M High-Speed Solutions 3M High-Speed Solutions 3M 2011. All Rights Reserved. Distance 3M Electronic Solutions Division 3M Twin Axial and AOC Solutions Addressing Physical Medium Dependent in the InifiniBand Fabric 100m 10m 1m

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK v2.17 HMC55 MIXER, 11-2 GHz Typical

More information

OBSOLETE HMC215LP4 / 215LP4E. GaAs MMIC MIXER w/ INTEGRATED LO AMPLIFIER, GHz. Typical Applications. Features. Functional Diagram

OBSOLETE HMC215LP4 / 215LP4E. GaAs MMIC MIXER w/ INTEGRATED LO AMPLIFIER, GHz. Typical Applications. Features. Functional Diagram v1.111 LO AMPLIFIER, 1.7-4. GHz Typical Applications The HMC215LP4 / HMC215LP4E is ideal for Wireless Infrastructure Applications: PCS / 3G Infrastructure Base Stations & Repeaters WiMAX & WiBro ISM &

More information

Vesper VM1000 Piezoelectric MEMS Microphone

Vesper VM1000 Piezoelectric MEMS Microphone Vesper VM1000 Piezoelectric MEMS Microphone MEMS report by Sylvain Hallereau February 2017 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr www.systemplus.fr 2017 System

More information

Features. = +25 C, LO = 50 GHz, LO = +12 dbm, USB [1] Parameter Min. Typ. Max. Units. RF Frequency Range GHz. LO Frequency Range GHz

Features. = +25 C, LO = 50 GHz, LO = +12 dbm, USB [1] Parameter Min. Typ. Max. Units. RF Frequency Range GHz. LO Frequency Range GHz Typical Applications The is ideal for: E-Band Communications Systems Test Equipment & Sensors Military End-Use Automotive Radar Functional Diagram Features Passive: No DC Bias Required Low LO Power: 12

More information

Obsolete Product(s) - Obsolete Product(s)

Obsolete Product(s) - Obsolete Product(s) IPAD TM 3 LINES EMI FILTER AND ESD PROTECTION MAIN PRODUCT APPLICATIONS EMI filtering and ESD protection for : SIM Interface (Subscriber Identify Module) UIM Interface (Universal Identify Module) DESCRIPTION

More information

High Power ARNS/IFF Limiter Module: Ultra Low Flat Leakage & Fast Recovery Time

High Power ARNS/IFF Limiter Module: Ultra Low Flat Leakage & Fast Recovery Time RELEASED RFLM-961122MC-299 High Power ARNS/IFF Limiter Module: Ultra Low Flat Leakage & Fast Recovery Time Features: SMT Limiter Module: 8mm x 5mm x 2.5mm Frequency Range: 960 MHz to 1,215 MHz High Average

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

DESIGNING MEMS MICROPHONES FROM CONCEPT TO FINISHED GDSII IN ABOUT TWO WEEKS

DESIGNING MEMS MICROPHONES FROM CONCEPT TO FINISHED GDSII IN ABOUT TWO WEEKS DESIGNING MEMS MICROPHONES FROM CONCEPT TO FINISHED GDSII IN ABOUT TWO WEEKS A M S D E S I G N & V E R I F I C A T I O N C A S E S T U D Y w w w. m e n t o r. c o m ABOUT THE MEMS MICROPHONE MARKET Knowles

More information

Data Sheet. HSMR-CL mm Blue Leadframe-Based Surface Mount ChipLED. Features. Description. Advantages. Package Dimensions

Data Sheet. HSMR-CL mm Blue Leadframe-Based Surface Mount ChipLED. Features. Description. Advantages. Package Dimensions HSMR-CL25.25mm Blue Leadframe-Based Surface Mount ChipLED Data Sheet Description The HSMR-CL25 series of parts is designed with an ultra small form factor to allow this miniaturization. The HSMR- CL25

More information

NextGIn( Connec&on'to'the'Next'Level' Application note Fan-out Xilinx FLGA 2892 using VeCS. Joan Tourné & Joe Dickson NextGIn Technology BV

NextGIn( Connec&on'to'the'Next'Level' Application note Fan-out Xilinx FLGA 2892 using VeCS. Joan Tourné & Joe Dickson NextGIn Technology BV NextGIn( Connec&on'to'the'Next'Level' Application note Fan-out Xilinx FLGA 2892 using VeCS. Joan Tourné & Joe Dickson NextGIn Technology BV March 20 th 2017 The objective of this document is showing the

More information

The hybrid photon detectors for the LHCb-RICH counters

The hybrid photon detectors for the LHCb-RICH counters 7 th International Conference on Advanced Technology and Particle Physics The hybrid photon detectors for the LHCb-RICH counters Maria Girone, CERN and Imperial College on behalf of the LHCb-RICH group

More information