Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures

Size: px
Start display at page:

Download "Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures"

Transcription

1 TEL Technology Center, America, LLC - imec Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures SPCC, April 10, 2018 S. Kal 1, C. Pereira 1, Y. Oniki 2, F. Holsteyns 2, J. Smith 1, A. Mosden 1, K. Kumar 1, P. Biolsi 1, T Hurd 1. 1 TEL Technology Center, America, LLC, USA 2 Imec, Belgium Subhadeep.Kal@us.tel.com

2 Chemical Oxide Removal (COR) Reaction Mechanism: Case for O 2 etch HF and NH 3 adsorb on the O 2 surface, reacting to form (NH 4 ) 2 F 6 Fluorosilicate - AFS) (Ammonium HF NH 3 HF (NH 4 ) 2 F 6 NH 3 O 2 NH 3 catalyzes a desired reaction pathway Slide courtesy: Tokyo Technology Solutions 2

3 A typical oxide etch process with Certas AFS Thickness=50.02 nm AFS O2 Post COR etch O2 Post PHT treatment (COR PHT) process can be repeated in cyclic fashion to meet process requirements ~4X Volume Expansion Pristine oxide surface regenerated 12nm Oxide Removal Recipe post PHT Ability to: Process with PR Additional knob to control: Pattern wiggling Pattern damage Slide courtesy: Tokyo Technology Solutions 3

4 Spacer Nanosheet Selective Etches INNER SPACER MODULE HM: N (or CN, OC) Spacer: OxCyNz Inner spacer: OxCyNz (would be different from spacer material) HM/dummy poly Spacer / fin P N Dmy poly Dmy OX Inner spacer Spacer formation Fin recess Cavity etch Inner spacer formation 4

5 Spacer Nanosheet Selective Etches SD/ILD0/RMG MODULES N-EPI: :B, P-EPI: :P CESL: N ILD0: O2 Dummy poly (dummy gate): a- EPI Inner spacer Dmy poly Dmy OX SD EPI ILD0 CESL CESL/ILD0 Dummy poly/ox removal Channel release HK MG HKMG 5

6 EA[nm] Selective etch for Nanowire N N N Step 1 Substrate Substrate Substrate Certas : selectivity Poly Partial release : etch =5-6 nm (each side, total = 10-12nm) loss <1nm Etch target and uniformity >5 A Square etch front Full release: etch ~25 nm (each side, total ~25nm) loss <1nm Etch gas[sccm] The above data is on blanket films 6

7 COR : etch: etch time optimization Incoming POST gas phase etch (recipe A; aggressive etch) No process T1 T2 T3 (T1<<T3) Summary for / stack: Selective : etch on imec wafer looks good (: >50:1) etch front looks VERY flat/square EA proportional etch time, without additional loss Partial etch uniformity ~3nm for Left /right side & top/bottom layers (incoming tapper may contribute) 7

8 COR : etch: pressure optimization Incoming POST TEL gas phase etch (Recipe B; medium etch) No process P1 P2 P3 P4 (P1<<P4) Summary for / stack: Selective : etch on imec wafer looks good (: >50:1) etch front looks VERY flat/square EA proportional etch time, without additional loss Pressure (i.e etch gas partial pressure) is contributing to slower etch rate due to byproduct formation depending on CD causing left-right and top-bottom non uniformity 8

9 Overlay comparison with incoming COR : etch: cavity and channel release Incoming POST Etch ET/ cyc No process Cavity etch Channel release Tilted Non-Tilted Ge%for = 20% Summary: : etch selectivity > 50:1 No N HM loss ER = 70nm/min etch front is square Data based on alternate test structures 9

10 Non-Tilted COR : etch: annealing effect Incoming POST etch No process Without anneal WITH anneal Summary : Ge%for = 20% 1 Steam anneal 500C 2hrs 2 RTP 850C 1min 3 RTP 850C 5s Anneal affects the ER significantly Anneal also reduces the : selectivity at the - interface o resulting in loss o meniscus etch front Data based on alternate test structures 10

11 EA[nm] Selective etch for Nanowire application N N N Step 1 Substrate Substrate Substrate Certas : selectivity Poly Partial release : etch =5-6 nm (each side, total = 10-12nm) loss <1nm Etch target and uniformity >5 A Square etch front Full release: etch ~25 nm (each side, total ~25nm) loss <1nm Etch gas[sccm] The above data is on blanket films 11

12 Tilted Non-Tilted COR : etch: etch time optimization Incoming POST Etch ET/ cyc No process 90S 120S Ge%for = 20% Summary: : etch selectivity > 10:1 No N HM loss ER = 7nm/min etch front is requires further improvement Post etch surface is smooth 12

13 COR N spacer etch N liner N Substrate N liner/spr dep N liner N Substrate N liner/spr etch Selective N spacer etch: Required N: etch selectivity > 25:1 (no loss) Required N: etch selectivity > 25:1 (no loss) Summary: N: / etch selectivity > 50:1 No loss N still preserved on layers 13

14 COR Selective dummy poly (a-) pull N/O a- N/O ILD0 CESL Isotropic gas etch CESL/ILD0 Dummy poly/ox removal Dummy poly removal : Extremely selective etch ~ nm No N loss or O2 loss Device structure Test structure 14

15 Nanosheet Selective Etches: Updated Step 1 Step 2 Step 3 Step 4 Step 5 Step 6 Step 7 Step 8 Step 9 Fin recess Cavity etch Inner spacer formation Dummy poly removal Channel release Test structure 15

16 Conclusion Dry plasma free etches are advantageous & crucial for Nanowire/CFET integrations applications, due to: High etch selectivity, inherent from the etch mechanism No plasma damage Aspect ratio dependency Cyclic process (potential self limiting capability) 16

17

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Akshey Sehgal, Anand Kadiyala, Michael DeVre and, Norberto Oliveria April 10 th, 2018 Background Due to higher aspect ratio features observed

More information

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

Deep Silicon Etch Technology for Advanced MEMS Applications

Deep Silicon Etch Technology for Advanced MEMS Applications Deep Silicon Etch Technology for Advanced MEMS Applications Shenjian Liu, Ph.D. Managing Director, AMEC AMEC Company Profile and Product Line-up AMEC HQ, R&D and MF Facility in Shanghai AMEC Taiwan AMEC

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1 Presentation Outline The Internet of Things (IoT) as a market

More information

Digital Light Processing

Digital Light Processing A Seminar report On Digital Light Processing Submitted in partial fulfillment of the requirement for the award of degree of Bachelor of Technology in Computer Science SUBMITTED TO: www.studymafia.org SUBMITTED

More information

AMOLED Manufacturing Process Report SAMPLE

AMOLED Manufacturing Process Report SAMPLE AMOLED Manufacturing Process Report SAMPLE 2018 AMOLED Manufacturing Process Report The report analyzes the structure and manufacturing process by dividing AMOLED into small & medium-sized rigid OLED,

More information

HB LEDs & OLEDs. Complete thin film process solutions

HB LEDs & OLEDs. Complete thin film process solutions HB LEDs & OLEDs Complete thin film process solutions Get off to a flying start for all your LED thin film deposition and etch processes From 2 inch to 8 inch Manual or fully automated substrate handling

More information

Overcoming Challenges in 3D NAND Volume Manufacturing

Overcoming Challenges in 3D NAND Volume Manufacturing Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit

More information

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip Abstract Based on failure analysis data the estimated failure mechanism in capacitor like device structures was simulated on wafer in Front End of Line. In the study the optimal process step for electron

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

Because Innovation Matters

Because Innovation Matters Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010 Safe Harbor This presentation contains forward-looking statements, including

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual LAM490 AutoEtch System Copyright 11.2015 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities

More information

4 SiC epitaxial wafer specification for power device application

4 SiC epitaxial wafer specification for power device application 4 SiC epitaxial wafer specification for power device application LB model Diameter 4 (100mm) 4 (100mm) 4 (100mm) Polytype 4H 4H 4H Surface (0001)Siface (0001)Siface (0001)Siface Offorientation 4degoff

More information

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Etching Part 2 Chapter : 16 Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra, Norwegian University of Science and Technology ( NTNU ) 2 Introduction

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr March 2011 - Version 1 Written by: Romain FRAUX DISCLAIMER

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Double Patterning OPC and Design for 22nm to 16nm Device Nodes Double Patterning OPC and Design for 22nm to 16nm Device Nodes Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Xiaohai Li, Levi Barnes, Weimin Gao Synopsys Inc. Vincent Wiaux IMEC 1 Outline Introduction

More information

Sub-micron high aspect ratio silicon beam etch

Sub-micron high aspect ratio silicon beam etch Sub-micron high aspect ratio silicon beam etch Gary J. O Brien a,b, David J. Monk b, and Khalil Najafi a a Center for Wireless Integrated Microsystems, Dept. of Electrical Engineering and Computer Science

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining Pressure sensor Surface Micromachining Deposit sacrificial layer Si PSG By HF Poly by XeF2 Pattern anchors Deposit/pattern structural layer Etch sacrificial layer Surface micromachining Structure sacrificial

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADPO1 1322 TITLE: Amorphous- Silicon Thin-Film Transistor With Two-Step Exposure Process DISTRIBUTION: Approved for public release,

More information

FinFETs & SRAM Design

FinFETs & SRAM Design FinFETs & SRAM Design Raymond Leung VP Engineering, Embedded Memories April 19, 2013 Synopsys 2013 1 Agenda FinFET the Device SRAM Design with FinFETs Reliability in FinFETs Summary Synopsys 2013 2 How

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

Challenges for OLED Deposition by Vacuum Thermal Evaporation. D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C.

Challenges for OLED Deposition by Vacuum Thermal Evaporation. D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C. Challenges for OLED Deposition by Vacuum Thermal Evaporation D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C. Roth June 7, 2011 Outline Introduction to Veeco Methods of OLED Deposition Cost

More information

MagnaChip HV7161SP 1.3 Megapixel CMOS Image Sensor Process Review

MagnaChip HV7161SP 1.3 Megapixel CMOS Image Sensor Process Review September 21, 2005 MagnaChip HV7161SP 1.3 Megapixel Process Review For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

The Challenges in Making NIL Master Templates

The Challenges in Making NIL Master Templates The Challenges in Making NIL Master Templates Naoya Hayashi Dai Nippon Printing Co., Ltd. A Member of the ebeam Initiative 2011 Dai Nippon Printing Co.,Ltd. All Rights Reserved. OUTLINE Recent Progress

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process Process Review 3685 Richmond Road, Suite 500, Ottawa, ON K2H

More information

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY SEMICON DRESDEN TechARENA OCTOBER 12 th 2011 Vincent Farys, Bertrand Le-Gratiet, Pierre-Jérôme Goirand STMicroelectronics Crolles 2 OUTLINE Lithography

More information

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON - CONTRIBUTORS FORM ADVANCED LITHO AND CU-LOW-K IIAP PROGRAMS - ASML VELDHOVEN DEMO LAB FOR EXPOSURES EUV

More information

Summary of Selected EMCR650 Projects for Fall 2005 Mike Aquilino Dr. Lynn Fuller

Summary of Selected EMCR650 Projects for Fall 2005 Mike Aquilino Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Summary of Selected EMCR650 Projects for Fall 2005 Mike quilino Dr. Lynn Fuller http://www.rit.edu/~lffeee 82 Lomb Memorial Drive Rochester,

More information

Backside Circuit Edit on Full-Thickness Silicon Devices

Backside Circuit Edit on Full-Thickness Silicon Devices Backside Circuit Edit on Full-Thickness Silicon Devices Presentation Title Line 1 Title Line Two Can I really skip the global thinning step?! Date Presenter Name Chad Rue FEI Company, Hillsboro, OR, USA

More information

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec SEMICON Europe October 2009 Pushing Lithography to the Limits imec 2009 1 Alternative double patterning processes : ready for (sub) 32nm hp? P. Wong, M. Maenhoudt, D. Vangoidsenhoven, V. Wiaux Outline

More information

Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED

Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED Patterning Challenges for N7 and Beyond At a Crossroads Steven Scheer Director, Corporate Development Division TOKYO ELECTRON LIMITED Advanced Technologies in Accelerating Digital Era and IoT Forum Friday,

More information

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs, Zhongda Li, Robert Karlicek and T. Paul Chow Smart Lighting Engineering Research Center Rensselaer Polytechnic Institute, Troy,

More information

CARLITE grain orien TEd ELECTRICAL STEELS

CARLITE grain orien TEd ELECTRICAL STEELS CARLITE grain ORIENTED ELECTRICAL STEELS M-3 M-4 M-5 M-6 Product d ata Bulletin Applications Potential AK Steel Oriented Electrical Steels are used most effectively in transformer cores having wound or

More information

24. Scaling, Economics, SOI Technology

24. Scaling, Economics, SOI Technology 24. Scaling, Economics, SOI Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 December 4, 2017 ECE Department, University

More information

I. Introduction. II. Problem

I. Introduction. II. Problem Wiring Deformable Mirrors for Curvature Adaptive Optics Systems Joshua Shiode Boston University, IfA REU 2005 Sarah Cook University of Hawaii, IfA REU 2005 Mentor: Christ Ftaclas Institute for Astronomy,

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

EQUIPMENT COATING SYSTEMS FOR THIN-FILM PV THIN-FILM PHOTOVOLTAICS. SCALA VISS PIA nova GC120VCR XENIA

EQUIPMENT COATING SYSTEMS FOR THIN-FILM PV THIN-FILM PHOTOVOLTAICS. SCALA VISS PIA nova GC120VCR XENIA THIN-FILM PHOTOVOLTAICS EQUIPMENT COATING SYSTEMS FOR THIN-FILM PV SCALA VISS PIA nova GC120VCR XENIA OUR STORY. OUR EXPERIENCE. RANGE OF APPLICATIONS VON ARDENNE provides advanced PVD coating equipment

More information

Readiness and Challenges of EUV Mask

Readiness and Challenges of EUV Mask Panel Discussion: EUVL HVM Insertion and Scaling Readiness and Challenges of EUV Mask Takashi Kamo Toshiba Corporation Semiconductor & Storage Products Company Contents [1] Introduction [2] EUV Mask Defect

More information

SHF Communication Technologies AG,

SHF Communication Technologies AG, SHF Communication Technologies AG, Wilhelm-von-Siemens-Str. 23 D 12277 Berlin Marienfelde Germany Phone ++49 30 / 772 05 10 Fax ++49 30 / 753 10 78 E-Mail: mail@shf.biz Web: http://www.shf.biz Datasheet

More information

ANDpSi025TD-LED 320 x 240 Pixels TFT LCD Color Monitor

ANDpSi025TD-LED 320 x 240 Pixels TFT LCD Color Monitor 320 x 240 Pixels TFT LCD Color Monitor The ANDpSI025TD-LED is a 2.5 active matrix color TFT LCD module, that is suitable for applications such as a portable television (NTSC), camcorder, digital camera

More information

Organic light emitting diode (OLED) displays

Organic light emitting diode (OLED) displays Ultra-Short Pulse Lasers Enable Precision Flexible OLED Cutting FLORENT THIBAULT, PRODUCT LINE MANAGER, HATIM HALOUI, APPLICATION MANAGER, JORIS VAN NUNEN, PRODUCT MARKETING MANAGER, INDUSTRIAL PICOSECOND

More information

1. Publishable summary

1. Publishable summary 1. Publishable summary 1.1. Project objectives. The target of the project is to develop a highly reliable high brightness conformable low cost scalable display for demanding applications such as their

More information

Development of high power gyrotron and EC technologies for ITER

Development of high power gyrotron and EC technologies for ITER 1 Development of high power gyrotron and EC technologies for ITER K. Sakamoto 1), K.Kajiwara 1), K. Takahashi 1), Y.Oda 1), A. Kasugai 1), N. Kobayashi 1), M.Henderson 2), C.Darbos 2) 1) Japan Atomic Energy

More information

Screen investigations for low energetic electron beams at PITZ

Screen investigations for low energetic electron beams at PITZ 1 Screen investigations for low energetic electron beams at PITZ S. Rimjaem, J. Bähr, H.J. Grabosch, M. Groß Contents Review of PITZ setup Screens and beam profile monitors at PITZ Test results Summary

More information

LG OLED Light Panel. Flexible panels

LG OLED Light Panel. Flexible panels LG OLED Light Panel Flexible panels Handling Instruction A. Handling and Safety 1. Unpack packing box with care. Remove packing trays gently and carefully from packing box. 2. During unloading and handling,

More information

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis July 6, 2006 STMicroelectronics NAND128W3A2BN6E Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Development of OLED Lighting Applications Using Phosphorescent Emission System

Development of OLED Lighting Applications Using Phosphorescent Emission System Development of OLED Lighting Applications Using Phosphorescent Emission System Kazuhiro Oikawa R&D Department OLED Lighting Business Center KONICA MINOLTA ADVANCED LAYERS, INC. October 10, 2012 Outline

More information

Karl Heinz Feller. Arbeitsgruppe Instrumentelle Analytik FB Medizintechnik und Biotechnologie Ernst-Abbe-Fachhochschule Jena.

Karl Heinz Feller. Arbeitsgruppe Instrumentelle Analytik FB Medizintechnik und Biotechnologie Ernst-Abbe-Fachhochschule Jena. CFD Simulationen von mikrofluidischen Bauelementen zur Optimierung von chemischen Reaktionen Karl Heinz Feller Arbeitsgruppe Instrumentelle Analytik FB Medizintechnik und Biotechnologie Ernst-Abbe-Fachhochschule

More information

Scanning Electron Microscopy (FEI Versa 3D Dual Beam)

Scanning Electron Microscopy (FEI Versa 3D Dual Beam) Scanning Electron Microscopy (FEI Versa 3D Dual Beam) This operating procedure intends to provide guidance for basic measurements on a standard sample with FEI Versa 3D SEM. For more advanced techniques

More information

THE NEXT LEVEL IN ARCHITECTURAL GLASS COATING ADVANCED EQUIPMENT & PROCESS EXPERTISE ADVANCED EQUIPMENT & PROCESS EXPERTISE

THE NEXT LEVEL IN ARCHITECTURAL GLASS COATING ADVANCED EQUIPMENT & PROCESS EXPERTISE ADVANCED EQUIPMENT & PROCESS EXPERTISE THE NEXT LEVEL IN ARCHITECTURAL GLASS COATING ADVANCED EQUIPMENT & PROCESS EXPERTISE THE NEXT LEVEL IN ARCHITECTURAL GLASS COATING ADVANCED EQUIPMENT & PROCESS EXPERTISE ARCHITECTURAL GLASS COATING REACHES

More information

DektakXT Profilometer. Standard Operating Procedure

DektakXT Profilometer. Standard Operating Procedure DektakXT Profilometer Standard Operating Procedure 1. System startup and sample loading: a. Ensure system is powered on by looking at the controller to the left of the computer.(it is an online software,

More information

ABRS Series. Air-Bearing Rotary Stage. Direct-drive, slotless brushless servomotor. Zero cogging motor for outstanding velocity stability

ABRS Series. Air-Bearing Rotary Stage. Direct-drive, slotless brushless servomotor. Zero cogging motor for outstanding velocity stability ABRS Series Air-Bearing Rotary Stage Direct-drive, slotless brushless servomotor Zero cogging motor for outstanding velocity stability Outstanding error motion and wobble performance Direct coupled, high

More information

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors Albert van Breemen Image sensors today 1 Dominated by silicon based technology on

More information

2016, Amkor Technology, Inc.

2016, Amkor Technology, Inc. 1 Standardization of Packaging for the Internet of Things Adrian Arcedera l VP of MEMS and Sensor Products 2 About Amkor Technology Amkor Technology, Inc. is one of the world's largest and most accomplished

More information

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Tolis Voutsas* Paul Schuele* Bert Crowder* Pooran Joshi* Robert Sposili* Hidayat

More information

Multilevel Beam SOI-MEMS for Optical Applications

Multilevel Beam SOI-MEMS for Optical Applications pp. 281-285 Multilevel Beam SOI-MEMS for Optical Applications Veljko Milanović Adriatic Research Institute 2131 University Ave., Suite 322, Berkeley, CA 94704 veljko@adriaticresearch.org Abstract A microfabrication

More information

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon Delft University of Technology Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon Kluba, Marta; Arslan, Aslihan; Stoute, Ronald; Muganda, James; Dekker, Ronald

More information

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. Richard Barnett Dave Thomas Oliver Ansell ABSTRACT Plasma dicing has rapidly gained traction as a viable

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding Ultrasonic Technology for Advanced Package Inspection A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding

More information

P-224: Damage-Free Cathode Coating Process for OLEDs

P-224: Damage-Free Cathode Coating Process for OLEDs P-224: Damage-Free Cathode Coating Process for OLEDs Shiva Prakash DuPont Displays, 6 Ward Drive, Santa Barbara, CA 937, USA Abstract OLED displays require the growth of inorganic films over organic films.

More information

CORONA & PLASMA FOR NARROW WEB

CORONA & PLASMA FOR NARROW WEB CORONA & PLASMA FOR NARROW WEB Corona & Plasma for NARROW WEB The Corona surface treatment is essential in label printing. When the label is made from plastic substrate the ink tends to become blemished

More information

UniMCO 4.0: A Unique CAD Tool for LED, OLED, RCLED, VCSEL, & Optical Coatings

UniMCO 4.0: A Unique CAD Tool for LED, OLED, RCLED, VCSEL, & Optical Coatings UniMCO 4.0: A Unique CAD Tool for LED, OLED, RCLED, VCSEL, & Optical Coatings 1 Outline Physics of LED & OLED Microcavity LED (RCLED) and OLED (MCOLED) UniMCO 4.0: Unique CAD tool for LED-Based Devices

More information

GENCOA Key Company Facts. GENCOA is a private limited company (Ltd) Founded 1995 by Dr Dermot Monaghan. Located in Liverpool, UK

GENCOA Key Company Facts. GENCOA is a private limited company (Ltd) Founded 1995 by Dr Dermot Monaghan. Located in Liverpool, UK GENCOA Key Company Facts GENCOA is a private limited company (Ltd) Founded 1995 by Dr Dermot Monaghan Located in Liverpool, UK Employs 34 people 6 design (Pro E 3D CAD) 4 process development & simulation

More information

DATASHEET. Intematix ChromaLit. Remote Phosphor Light Source. Features & Benefits. Applications and Uses. Unprecedented design freedom for solid state

DATASHEET. Intematix ChromaLit. Remote Phosphor Light Source. Features & Benefits. Applications and Uses. Unprecedented design freedom for solid state DATASHEET Intematix ChromaLit Remote Phosphor Light Source Features & Benefits Unprecedented design freedom for solid state lighting products and systems Customizable shape, size and CCT Custom saturated

More information

CORONA & PLASMA FOR NARROW WEB

CORONA & PLASMA FOR NARROW WEB CORONA & PLASMA FOR Corona & Plasma Corona & Plasma for Specifications Pullout Width Ozone Exhaust The Corona surface treatment is essential in label printing. When the label is made from plastic substrate

More information

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 Central Texas Electronics Association Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 A review of the latest advancements in Acoustic Micro-Imaging for the non-destructive inspection

More information

Challenges in the design of a RGB LED display for indoor applications

Challenges in the design of a RGB LED display for indoor applications Synthetic Metals 122 (2001) 215±219 Challenges in the design of a RGB LED display for indoor applications Francis Nguyen * Osram Opto Semiconductors, In neon Technologies Corporation, 19000, Homestead

More information

Compensation for transient chamber wall condition using realtime plasma density feedback control in an inductively coupled plasma etcher

Compensation for transient chamber wall condition using realtime plasma density feedback control in an inductively coupled plasma etcher Compensation for transient chamber wall condition using realtime plasma density feedback control in an inductively coupled plasma etcher Pete I. Klimecky, J. W. Grizzle, and Fred L. Terry, Jr. Department

More information

Setup Guide. Read me BefoRe unpacking!

Setup Guide. Read me BefoRe unpacking! Setup Guide Read me BefoRe unpacking! Package Contents In The Replicator package The Replicator SD card (in The Replicator SD card slot) In the Accessory Box found within The Replicator frame Single or

More information

P802.3av interim, Shanghai, PRC

P802.3av interim, Shanghai, PRC P802.3av interim, Shanghai, PRC 08 09.06.2009 Overview of 10G-EPON compiled by Marek Hajduczenia marek.hajduczenia@zte.com.cn Rev 1.2 P802.3av interim, Shanghai, PRC 08 09.06.2009 IEEE P802.3av 10G-EPON

More information

Surgical Solutions. Brilliance. Focus. Comfort. HarmonyAIR M-Series Surgical Lighting System. One Integrated Approach to Healthcare

Surgical Solutions. Brilliance. Focus. Comfort. HarmonyAIR M-Series Surgical Lighting System. One Integrated Approach to Healthcare Surgical Solutions Brilliance. Focus. Comfort. HarmonyAIR M-Series Surgical Lighting System One Integrated Approach to Healthcare PURE, WHITE BRILLIANCE Experience the clear difference in coolness, comfort

More information

Compensation for transient chamber wall condition using real-time plasma density feedback control in an inductively coupled plasma etcher

Compensation for transient chamber wall condition using real-time plasma density feedback control in an inductively coupled plasma etcher Compensation for transient chamber wall condition using real-time plasma density feedback control in an inductively coupled plasma etcher Pete I. Klimecky, a) J. W. Grizzle, and Fred L. Terry, Jr. Department

More information

TRAN-COR H. grain ORIENTED ELECTRICAL STEELS. Applications Potential. a significant increase in core loss.

TRAN-COR H. grain ORIENTED ELECTRICAL STEELS. Applications Potential. a significant increase in core loss. TRAN-COR H grain ORIENTED ELECTRICAL STEELS H-0 CARLITE H-0 CARLITE DR H-1 CARLITE H-1 CARLITE DR H-2 CARLITE H-2 CARLITE DR P r o d u c t D ata B u l l e t i n Applications Potential TRAN-COR H CARLITE

More information

Industrial Inline Control for Advanced Vacuum Roll to Roll Systems. Gerhard Steiniger Web inspection - surface Quallity control 7.

Industrial Inline Control for Advanced Vacuum Roll to Roll Systems. Gerhard Steiniger Web inspection - surface Quallity control 7. Industrial Inline Control for Advanced Vacuum Roll to Roll Systems Gerhard Steiniger Web inspection - surface Quallity control 7.4-7684 1 Industrial Inline Control for Advanced Vacuum Roll to Roll Systems

More information

AIXTRON in EXCILIGHT project

AIXTRON in EXCILIGHT project AIXTRON SE AIXTRON in EXCILIGHT project Gintautas Simkus ABOUT AIXTRON 2 Who we are Headquarter based in Herzogenrath, Germany Worldwide presence with 14 sales/representatives offices and production facilities

More information

Liquid Crystal Display (LCD)

Liquid Crystal Display (LCD) Liquid Crystal Display (LCD) When coming into contact with grooved surface in a fixed direction, liquid crystal molecules line up parallelly along the grooves. When coming into contact with grooved surface

More information

TRAN-COR H-0 CARLITE H-0 CARLITE DR H-1 CARLITE H-1 CARLITE DR H-2 CARLITE H-2 CARLITE DR

TRAN-COR H-0 CARLITE H-0 CARLITE DR H-1 CARLITE H-1 CARLITE DR H-2 CARLITE H-2 CARLITE DR P R O D U C T D T B U L L E T I N TRN-COR GRiN ORieNted electricl SteelS H-0 CRLITE H-0 CRLITE DR H-1 CRLITE H-1 CRLITE DR H-2 CRLITE H-2 CRLITE DR H K Steel, the K Steel logo, TRN-COR and CRLITE are registered

More information

Advanced Sensor Technologies

Advanced Sensor Technologies Advanced Sensor Technologies Jörg Amelung Fraunhofer Institute for Photonics Microsystems Name of presenter date Sensors as core element for IoT Next phase of market grow New/Advanced Requirements based

More information

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Overview This document addresses the following chuck edge design issues: Device yield through system uniformity and particle reduction; System

More information

Mechanical Considerations in the Outer Tracker and VXD. Bill Cooper Fermilab

Mechanical Considerations in the Outer Tracker and VXD. Bill Cooper Fermilab Mechanical Considerations in the Outer Tracker and VXD Fermilab August 23, 2005 1 Overview I ll describe developments since the SLAC workshop in mechanical design efforts at Fermilab related to SiD tracking.

More information

THE challenges facing today s mobile

THE challenges facing today s mobile MEMS displays MEMS-Based Display Technology Drives Next-Generation FPDs for Mobile Applications Today, manufacturers of mobile electronic devices are faced with a number of competitive challenges. To remain

More information

IoT, IIoT, and Industrie November, 2016 Hotel Chancery Pavilion, Lavelle Road, Bengaluru

IoT, IIoT, and Industrie November, 2016 Hotel Chancery Pavilion, Lavelle Road, Bengaluru ISATRNG/2014.04.25-26/Slide No. 1 ISA Bangalore Section International Society of Automation ISA Bangalore s training program on: 18-19 November, 2016 Hotel Chancery Pavilion, Lavelle Road, Bengaluru Standards

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION User-interactive electronic-skin for instantaneous pressure visualization Chuan Wang 1,2,3, David Hwang 1,2,3, Zhibin Yu 1,2,3, Kuniharu Takei 1,2,3, Junwoo Park 4, Teresa Chen 4, Biwu Ma 3,4, and Ali

More information

Solution-based transistor matrix

Solution-based transistor matrix 18 PRINTED ELECTRONICS Solution-based transistor matrix A groundbreaking new technology is making it far more cost-effective to produce the electronic control unit of liquid crystal displays. At the same

More information

An MFA Binary Counter for Low Power Application

An MFA Binary Counter for Low Power Application Volume 118 No. 20 2018, 4947-4954 ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu An MFA Binary Counter for Low Power Application Sneha P Department of ECE PSNA CET, Dindigul, India

More information

STMicroelectronics S550B1A CMOS Image Sensor Imager Process Report

STMicroelectronics S550B1A CMOS Image Sensor Imager Process Report October 13, 2006 STMicroelectronics S550B1A CMOS Image Sensor Imager Process Report For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Enabling Paper-Like Displays Roll-to-Roll Manufacturing of Display Backplanes. Hewlett-Packard Company, Palo Alto, CA. Phicot Inc, Ames, IA

Enabling Paper-Like Displays Roll-to-Roll Manufacturing of Display Backplanes. Hewlett-Packard Company, Palo Alto, CA. Phicot Inc, Ames, IA Enabling Paper-Like Displays Roll-to-Roll Manufacturing of Display Backplanes Carl Taussig, Bob Cobene, Rich Elder, Warren Jackson, Mehrban Jam, Albert Jeans, Hao Luo, Ping Mei, Craig Perlov, Hewlett-Packard

More information

STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes

STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes http://cmp.imag.fr STMicroelectronics Technology offers at CMP: 160nm CMOS: BCD8SP 1994 at CMP 160nm

More information

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World The World Leader in High Performance Signal Processing Solutions Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World Dave Robertson-- VP of Analog Technology

More information

An Overview of OLED Display Technology

An Overview of OLED Display Technology page:1 An Overview of OLED Display Technology Homer Antoniadis OSRAM Opto Semiconductors Inc. San Jose, CA page:2 Outline! OLED device structure and operation! OLED materials (polymers and small molecules)!

More information

Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London. Digital IC Design Course

Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London. Digital IC Design Course Scalable CMOS Layout Design Rules Scalable CMOS Layout Design Rules Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London Department of Electrical & Electronic Engineering Digital IC

More information